首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Electric fields in Scanning Electron Microscopy simulations 扫描电子显微镜模拟中的电场
Pub Date : 2016-04-21 DOI: 10.1117/12.2219182
K. Arat, J. Bolten, T. Klimpel, N. Unal
The electric field distribution and charging effects in Scanning Electron Microscopy (SEM) were studied by extending a Monte-Carlo based SEM simulator by a fast and accurate multigrid (MG) based 3D electric field solver. The main focus is on enabling short simulation times with maintaining sufficient accuracy, so that SEM simulation can be used in practical applications. The implementation demonstrates a gain in computation speed, when compared to a Gauss-Seidel based reference solver is roughly factor of 40, with negligible differences in the result (~10−6 𝑉). In addition, the simulations were compared with experimental SEM measurements using also complex 3D sample, showing that i) the modelling of e-fields improves the simulation accuracy, and ii) multigrid method provide a significant benefit in terms of simulation time.
利用快速、精确的多网格三维电场求解器对基于蒙特卡罗的扫描电子显微镜(SEM)模拟器进行扩展,研究了扫描电子显微镜(SEM)中的电场分布和电荷效应。主要的重点是使模拟时间短,保持足够的精度,使SEM模拟可以在实际应用中使用。与基于Gauss-Seidel的参考求解器相比,该实现在计算速度上获得了大约40倍的增益,而结果的差异可以忽略不计(~10−6)。此外,将模拟结果与同样复杂3D样品的实验SEM测量结果进行了比较,结果表明:1)电场建模提高了模拟精度;2)多网格方法在模拟时间方面具有显著优势。
{"title":"Electric fields in Scanning Electron Microscopy simulations","authors":"K. Arat, J. Bolten, T. Klimpel, N. Unal","doi":"10.1117/12.2219182","DOIUrl":"https://doi.org/10.1117/12.2219182","url":null,"abstract":"The electric field distribution and charging effects in Scanning Electron Microscopy (SEM) were studied by extending a Monte-Carlo based SEM simulator by a fast and accurate multigrid (MG) based 3D electric field solver. The main focus is on enabling short simulation times with maintaining sufficient accuracy, so that SEM simulation can be used in practical applications. The implementation demonstrates a gain in computation speed, when compared to a Gauss-Seidel based reference solver is roughly factor of 40, with negligible differences in the result (~10−6 𝑉). In addition, the simulations were compared with experimental SEM measurements using also complex 3D sample, showing that i) the modelling of e-fields improves the simulation accuracy, and ii) multigrid method provide a significant benefit in terms of simulation time.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123509239","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Scatterometry modeling for gratings with roughness and irregularities 粗糙和不规则光栅的散射测量建模
Pub Date : 2016-04-21 DOI: 10.1117/12.2219019
J. Bischoff, K. Hehl
A rigorous electromagnetic simulation method for grating diffraction is presented that concurrently enables appropriate roughness and irregularity modeling. The approach will it make possible for example to overlay surface roughness and or line edge roughness (LER) to regular patterns. In this way, a unique tool is provided to model diffraction and scattering at the same time. It is based on a combination of modal methods such as the RCWA or C-method with near field stitching and subsequent near-to-far field propagation. This paves the way to an efficient and accurate modeling of large scattering areas. Fields of applications are the design of spectrographic gratings as well as optical scatterometry or kindred optical metrology techniques. Examples are provided both for 2D line/space patterns with sinusoidal and blaze profiles and 3D line/space patterns possessing LER and line width roughness (LWR). First ideas are derived how to determine LER and LWR from scatterometric measurements.
提出了一种严格的光栅衍射的电磁仿真方法,可以同时进行适当的粗糙度和不规则性建模。例如,该方法将使表面粗糙度和/或线边缘粗糙度(LER)叠加到规则图案上成为可能。这样,就提供了一种独特的工具来同时模拟衍射和散射。它是基于模态方法的组合,如RCWA或c -方法与近场拼接和随后的近到远场传播。这为有效和准确地模拟大散射区域铺平了道路。应用领域是光谱光栅的设计以及光学散射测量或类似的光学计量技术。提供了具有正弦和火焰轮廓的2D线/空间图案和具有LER和线宽粗糙度(LWR)的3D线/空间图案的示例。首先提出了如何从散射测量中确定LER和LWR的想法。
{"title":"Scatterometry modeling for gratings with roughness and irregularities","authors":"J. Bischoff, K. Hehl","doi":"10.1117/12.2219019","DOIUrl":"https://doi.org/10.1117/12.2219019","url":null,"abstract":"A rigorous electromagnetic simulation method for grating diffraction is presented that concurrently enables appropriate roughness and irregularity modeling. The approach will it make possible for example to overlay surface roughness and or line edge roughness (LER) to regular patterns. In this way, a unique tool is provided to model diffraction and scattering at the same time. It is based on a combination of modal methods such as the RCWA or C-method with near field stitching and subsequent near-to-far field propagation. This paves the way to an efficient and accurate modeling of large scattering areas. Fields of applications are the design of spectrographic gratings as well as optical scatterometry or kindred optical metrology techniques. Examples are provided both for 2D line/space patterns with sinusoidal and blaze profiles and 3D line/space patterns possessing LER and line width roughness (LWR). First ideas are derived how to determine LER and LWR from scatterometric measurements.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"60 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132259787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Reliable characterization of materials and nanostructured systems <<50nm using coherent EUV beams 利用相干超紫外光束可靠表征 <<50nm 的材料和纳米结构系统
Pub Date : 2016-04-21 DOI: 10.1117/12.2219434
J. Hernández-Charpak, T. Frazer, J. Knobloch, K. Hoogeboom-Pot, D. Nardi, W. Chao, Lei Jiang, M. Tripp, S. King, H. Kapteyn, M. Murnane
Coherent extreme ultraviolet beams from tabletop high harmonic generation offer revolutionary capabilities for observing nanoscale systems on their intrinsic length and time scales. By launching and monitoring acoustic waves in such systems, we fully characterize sub-10nm films and find that the Poisson’s ratio of low-k dielectric materials does not stay constant as often assumed, but increases when bond coordination is bellow a critical value. Within the same measurement, by following the heat dissipation dynamics from nano-gratings of width 20-1000nm and different periodicities, we confirm the effects of the newly identified collectively-diffusive regime, where close-spaced nanowires cool faster than widely-spaced ones.
桌面高谐波产生的相干极紫外光束为观察纳米级系统的固有长度和时间尺度提供了革命性的能力。通过在这样的系统中发射和监测声波,我们充分表征了10nm以下的薄膜,并发现低k介电材料的泊松比并不像通常假设的那样保持恒定,而是在键配位低于临界值时增加。在相同的测量中,通过跟踪宽度为20-1000nm和不同周期的纳米光栅的散热动力学,我们证实了新发现的集体扩散机制的影响,其中紧密间隔的纳米线比宽间隔的纳米线冷却得更快。
{"title":"Reliable characterization of materials and nanostructured systems <<50nm using coherent EUV beams","authors":"J. Hernández-Charpak, T. Frazer, J. Knobloch, K. Hoogeboom-Pot, D. Nardi, W. Chao, Lei Jiang, M. Tripp, S. King, H. Kapteyn, M. Murnane","doi":"10.1117/12.2219434","DOIUrl":"https://doi.org/10.1117/12.2219434","url":null,"abstract":"Coherent extreme ultraviolet beams from tabletop high harmonic generation offer revolutionary capabilities for observing nanoscale systems on their intrinsic length and time scales. By launching and monitoring acoustic waves in such systems, we fully characterize sub-10nm films and find that the Poisson’s ratio of low-k dielectric materials does not stay constant as often assumed, but increases when bond coordination is bellow a critical value. Within the same measurement, by following the heat dissipation dynamics from nano-gratings of width 20-1000nm and different periodicities, we confirm the effects of the newly identified collectively-diffusive regime, where close-spaced nanowires cool faster than widely-spaced ones.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126748069","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
GPU accelerated Monte-Carlo simulation of SEM images for metrology GPU加速蒙特卡罗模拟SEM图像的计量
Pub Date : 2016-04-21 DOI: 10.1117/12.2219160
T. Verduin, S. Lokhorst, C. W. Hagen
In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable amounts of computation time.
在这项工作中,我们讨论了尺寸计量中数值研究的计算时间。特别是,用于扫描电子显微镜(SEM)图像采集的完整蒙特卡罗模拟程序众所周知是非常缓慢的。为了减少SEM图像模拟的计算时间,我们研究了图形处理单元(gpu)在计量中的使用。我们已经成功地为SEM图像创建了一个完整的蒙特卡罗模拟程序,它完全运行在GPU上。此GPU模拟器的物理散射模型与先前基于cpu的模拟器相同,其中包括用于非弹性散射的介电函数模型以及用于低压扫描电镜应用的改进。作为性能的一个案例研究,我们考虑了一个复杂特征的模拟暴露:一条隔离的硅线,其粗糙的侧壁位于硅衬底上。将粗糙特征的表面分解为408 ~ 012个三角形。我们使用的暴露剂量为6 mC/cm2,平均相当于6 553 600个初级电子(泊松分布)。我们对300 eV、500 eV、800 eV、1 keV、3 keV和5 keV等不同的一次电子能量进行了重复模拟。首先,我们在NVIDIA的GeForce GTX480上运行模拟。在我们的基于cpu的程序上复制了完全相同的模拟,我们使用了Intel Xeon X5650。除了模拟中的统计数据外,CPU和GPU的模拟结果没有差异。GTX480生成图像的速度(取决于主电子能量)比单线程英特尔X5650 CPU快350到425倍。虽然这是一个巨大的加速,但我们实际上并没有达到最大吞吐量,因为GTX480上的可用内存量有限。尽管如此,加速可以快速获取用于计量的模拟SEM图像。我们现在有潜力调查CD-SEM计量的案例研究,否则将花费不合理的计算时间。
{"title":"GPU accelerated Monte-Carlo simulation of SEM images for metrology","authors":"T. Verduin, S. Lokhorst, C. W. Hagen","doi":"10.1117/12.2219160","DOIUrl":"https://doi.org/10.1117/12.2219160","url":null,"abstract":"In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable amounts of computation time.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115486743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Multiple beam ptychography 多波束平面摄影
Pub Date : 2016-04-21 DOI: 10.1117/12.2220416
Robert M. Karl, C. Bevis, Raymond Lopez-Rios, Jonathan T. Reichanadter, D. Gardner, Christina L. Porter, E. Shanblatt, M. Tanksalvala, G. Mancini, M. Murnane, H. Kapteyn, D. Adams
We present an extension to ptychography that allows simultaneous deconvolution of multiple, spatially separate, illuminating probes. This enables an increased field of view and hence, an increase in imaging throughput, without increased exposure times. This technique can be used for any non-interfering probes: demonstrated with multiple wavelengths and orthogonal polarizations. The latter of which gives us spatially resolved polarization spectroscopy from a single scan.
我们提出了一个扩展到平面摄影,允许同时反褶积多个,空间分离,照明探针。这可以增加视野,从而增加成像吞吐量,而不会增加曝光时间。该技术可用于任何非干涉探针:演示了多波长和正交偏振。后者为我们提供了单次扫描的空间分辨偏振光谱。
{"title":"Multiple beam ptychography","authors":"Robert M. Karl, C. Bevis, Raymond Lopez-Rios, Jonathan T. Reichanadter, D. Gardner, Christina L. Porter, E. Shanblatt, M. Tanksalvala, G. Mancini, M. Murnane, H. Kapteyn, D. Adams","doi":"10.1117/12.2220416","DOIUrl":"https://doi.org/10.1117/12.2220416","url":null,"abstract":"We present an extension to ptychography that allows simultaneous deconvolution of multiple, spatially separate, illuminating probes. This enables an increased field of view and hence, an increase in imaging throughput, without increased exposure times. This technique can be used for any non-interfering probes: demonstrated with multiple wavelengths and orthogonal polarizations. The latter of which gives us spatially resolved polarization spectroscopy from a single scan.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"79 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128611318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution 使用热近场辐射的非接触式距离测量和轮廓测量,实现高分辨率检测和计量解决方案
Pub Date : 2016-04-21 DOI: 10.1117/12.2218877
R. Bijster, H. Sadeghian, F. van Keulen
Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of extreme proximity to the sample at distances that are measured in tens of nanometers. For the instrument this poses two major challenges: 1) how to measure the distance to the sample? and 2) how to position accurately and at high speed? For the first challenge near-field thermal radiation is proposed as a mechanism for an integrated distance sensor (patent pending). This sensor is realized by making a sensitive calorimeter (accuracy of 2:31nW root sum squared). When used for distance measurement an equivalent uncertainty of 1nm can be achieved for distances smaller than 100 nm. By scanning the distance sensor over the sample, thermal profilometry is realized, which can be used to inspect surfaces in a non-intrusive and non-contact way. This reduces wear of the probe and minimizes the likelihood of damaging the sample.
光学近场技术,如固体浸没透镜和超透镜,是下一个技术节点的高分辨率和高通量晶圆检测和计量的候选解决方案。除了亚衍射限制光学性能外,这些概念还需要在几十纳米的距离上与样品非常接近。这对仪器提出了两个主要挑战:1)如何测量到样品的距离?2)如何准确高速定位?第一个挑战是提出近场热辐射作为集成距离传感器的机制(正在申请专利)。该传感器是通过制作灵敏的量热计实现的(精度为2:31nW根和平方)。当用于距离测量时,对于小于100纳米的距离,可以实现1nm的等效不确定度。通过对样品上的距离传感器进行扫描,实现了热轮廓测量,可用于以非侵入和非接触的方式检测表面。这减少了探针的磨损,并最大限度地减少了损坏样品的可能性。
{"title":"Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution","authors":"R. Bijster, H. Sadeghian, F. van Keulen","doi":"10.1117/12.2218877","DOIUrl":"https://doi.org/10.1117/12.2218877","url":null,"abstract":"Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of extreme proximity to the sample at distances that are measured in tens of nanometers. For the instrument this poses two major challenges: 1) how to measure the distance to the sample? and 2) how to position accurately and at high speed? For the first challenge near-field thermal radiation is proposed as a mechanism for an integrated distance sensor (patent pending). This sensor is realized by making a sensitive calorimeter (accuracy of 2:31nW root sum squared). When used for distance measurement an equivalent uncertainty of 1nm can be achieved for distances smaller than 100 nm. By scanning the distance sensor over the sample, thermal profilometry is realized, which can be used to inspect surfaces in a non-intrusive and non-contact way. This reduces wear of the probe and minimizes the likelihood of damaging the sample.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125714452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A new approach to process control using Instability Index 一种利用不稳定指数进行过程控制的新方法
Pub Date : 2016-04-21 DOI: 10.1117/12.2218623
Jeffrey Weintraub, S. Warrick
The merits of a robust Statistical Process Control (SPC) methodology have long been established. In response to the numerous SPC rule combinations, processes, and the high cost of containment, the Instability Index (ISTAB) is presented as a tool for managing these complexities. ISTAB focuses limited resources on key issues and provides a window into the stability of manufacturing operations. ISTAB takes advantage of the statistical nature of processes by comparing the observed average run length (OARL) to the expected run length (ARL), resulting in a gap value called the ISTAB index. The ISTAB index has three characteristic behaviors that are indicative of defects in an SPC instance. Case 1: The observed average run length is excessively long relative to expectation. ISTAB > 0 is indicating the possibility that the limits are too wide. Case 2: The observed average run length is consistent with expectation. ISTAB near zero is indicating that the process is stable. Case 3: The observed average run length is inordinately short relative to expectation. ISTAB < 0 is indicating that the limits are too tight, the process is unstable or both. The probability distribution of run length is the basis for establishing an ARL. We demonstrate that the geometric distribution is a good approximation to run length across a wide variety of rule sets. Excessively long run lengths are associated with one kind of defect in an SPC instance; inordinately short run lengths are associated with another. A sampling distribution is introduced as a way to quantify excessively long and inordinately short observed run lengths. This paper provides detailed guidance for action limits on these run lengths. ISTAB as a statistical method of review facilitates automated instability detection. This paper proposes a management system based on ISTAB as an enhancement to more traditional SPC approaches.
稳健的统计过程控制(SPC)方法的优点早已确立。针对大量的SPC规则组合、流程和高昂的控制成本,不稳定性指数(ISTAB)被提出作为管理这些复杂性的工具。ISTAB将有限的资源集中在关键问题上,并为制造业务的稳定性提供了一个窗口。ISTAB通过比较观察到的平均运行长度(OARL)和预期运行长度(ARL)来利用流程的统计特性,从而产生一个称为ISTAB索引的间隙值。ISTAB索引有三种特征行为,它们表明了SPC实例中的缺陷。案例1:观察到的平均运行长度相对于预期过长。ISTAB > 0表示限制可能太宽。案例2:观察到的平均运行长度与预期一致。ISTAB接近零表明过程是稳定的。案例3:观察到的平均运行长度相对于预期而言非常短。ISTAB < 0表示限制太紧,过程不稳定或两者兼而有之。行程长度的概率分布是建立ARL的基础。我们证明了几何分布是跨各种规则集的运行长度的良好近似值。过长的运行长度与SPC实例中的一种缺陷有关;异常短的运行长度与另一个相关联。引入抽样分布作为一种量化观察到的过长和过短运行长度的方法。本文提供了这些运行长度的动作限制的详细指导。ISTAB作为一种统计方法,有利于不稳定性的自动检测。本文提出了一个基于ISTAB的管理系统,作为对传统SPC方法的改进。
{"title":"A new approach to process control using Instability Index","authors":"Jeffrey Weintraub, S. Warrick","doi":"10.1117/12.2218623","DOIUrl":"https://doi.org/10.1117/12.2218623","url":null,"abstract":"The merits of a robust Statistical Process Control (SPC) methodology have long been established. In response to the numerous SPC rule combinations, processes, and the high cost of containment, the Instability Index (ISTAB) is presented as a tool for managing these complexities. ISTAB focuses limited resources on key issues and provides a window into the stability of manufacturing operations. ISTAB takes advantage of the statistical nature of processes by comparing the observed average run length (OARL) to the expected run length (ARL), resulting in a gap value called the ISTAB index. The ISTAB index has three characteristic behaviors that are indicative of defects in an SPC instance. Case 1: The observed average run length is excessively long relative to expectation. ISTAB > 0 is indicating the possibility that the limits are too wide. Case 2: The observed average run length is consistent with expectation. ISTAB near zero is indicating that the process is stable. Case 3: The observed average run length is inordinately short relative to expectation. ISTAB < 0 is indicating that the limits are too tight, the process is unstable or both. The probability distribution of run length is the basis for establishing an ARL. We demonstrate that the geometric distribution is a good approximation to run length across a wide variety of rule sets. Excessively long run lengths are associated with one kind of defect in an SPC instance; inordinately short run lengths are associated with another. A sampling distribution is introduced as a way to quantify excessively long and inordinately short observed run lengths. This paper provides detailed guidance for action limits on these run lengths. ISTAB as a statistical method of review facilitates automated instability detection. This paper proposes a management system based on ISTAB as an enhancement to more traditional SPC approaches.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129454700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Automated klarf-based defect inspection by electron-beam inspection tool: a novel approach to inline monitoring and/or process change validation 利用电子束检测工具进行基于klarf的自动缺陷检测:一种在线监测和/或工艺变更验证的新方法
Pub Date : 2016-04-12 DOI: 10.1117/12.2218887
Na Cai, Xuefeng Zeng, Kevin Wu, Ho Young Song, Weihong Gao, Qing Tian, C. Lei, Kewen Gao, Liuchen Wang, Yan Zhao
We report an optical inspection guided e-beam inspection method for inline monitoring and/or process change validation. We illustrate its advantage through the case of detection of buried voids/unlanding vias, which are identified as yield-limiting defects to cause electrical connectivity failures. We inspected a back end of line (BEOL) wafer after the copper electro plating and chemical mechanical planarization (CMP) process with bright field inspection (BFI) and employed EBI to inspect full wafer with guidance of BFI klarf file. The dark voltage contrast defects were detected and confirmed as buried voids by transmission electron microscopy (TEM).
我们报告了一种用于在线监测和/或工艺变更验证的光学检查引导电子束检查方法。我们通过检测埋藏空隙/未着陆过孔的情况来说明其优势,这些缺陷被确定为导致电气连接故障的产量限制缺陷。采用亮场检测(BFI)技术对镀铜和化学机械平面化(CMP)工艺后的后端(BEOL)晶圆进行了检测,并在BFI klarf文件的指导下,采用亮场检测(EBI)对整片晶圆进行了检测。通过透射电子显微镜(TEM)检测到暗电压对比缺陷,确认其为埋藏空洞。
{"title":"Automated klarf-based defect inspection by electron-beam inspection tool: a novel approach to inline monitoring and/or process change validation","authors":"Na Cai, Xuefeng Zeng, Kevin Wu, Ho Young Song, Weihong Gao, Qing Tian, C. Lei, Kewen Gao, Liuchen Wang, Yan Zhao","doi":"10.1117/12.2218887","DOIUrl":"https://doi.org/10.1117/12.2218887","url":null,"abstract":"We report an optical inspection guided e-beam inspection method for inline monitoring and/or process change validation. We illustrate its advantage through the case of detection of buried voids/unlanding vias, which are identified as yield-limiting defects to cause electrical connectivity failures. We inspected a back end of line (BEOL) wafer after the copper electro plating and chemical mechanical planarization (CMP) process with bright field inspection (BFI) and employed EBI to inspect full wafer with guidance of BFI klarf file. The dark voltage contrast defects were detected and confirmed as buried voids by transmission electron microscopy (TEM).","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"504 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116328404","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
High χ block copolymers based on chemical modification of poly(t-butyl acrylate) containing block copolymers 含嵌段共聚物的聚丙烯酸t-丁酯化学改性的高χ嵌段共聚物
Pub Date : 2016-04-11 DOI: 10.1117/12.2221905
Sungmin Park, Seongjun Jo, Yonghoon Lee, C. Ryu, D. Ryu, J. Chun
We report the synthesis and characterization of novel block copolymer (BCP) materials for the directed self-assembly (DSA) nanolithography applications. Specifically, the poly(t-butyl acrylate) (PtBA) block in the styrenic block copolymers have been chemically modified to a fluorinated block for the enhancement of the BCP χ-parameters. dPSb- PtBA had been first synthesized by anionic polymerization to prepare a well-defined BCP precursor with narrow polydispersity for the fluorination of PtBA block. Then, the precursor BCP was chemically modified by transalcoholysis of the PtBA-block with 2,2,2-trifluoroethanol. This strategy offers the advantage of flexibility and controllability to tailor the χ-parameter, while maintaining the narrow molecular weight distribution of the BCP materials for the advanced lithography applications. The transmission electron microscopy/small angle x-ray scattering (TEM/SAXS) characterization results of the modified BCP consisting of poly(fluoroalkylate) and PS supported the development of highly ordered lamellar domains in bulk.
我们报道了用于定向自组装(DSA)纳米光刻的新型嵌段共聚物(BCP)材料的合成和表征。具体来说,苯乙烯嵌段共聚物中的聚丙烯酸丁酯(PtBA)嵌段被化学修饰为氟化嵌段,以增强BCP χ-参数。采用阴离子聚合法首次合成了dPSb- PtBA,为PtBA嵌段氟化制备了一种性能良好、分散性较窄的BCP前驱体。然后,用2,2,2-三氟乙醇对PtBA-block进行转醇水解,对前体BCP进行化学修饰。该策略在保持BCP材料窄分子量分布的同时,为先进光刻应用提供了灵活可控的χ-参数定制优势。由聚氟烷基酸酯和PS组成的改性BCP的透射电镜/小角x射线散射(TEM/SAXS)表征结果支持了高有序层状结构域的大量发展。
{"title":"High χ block copolymers based on chemical modification of poly(t-butyl acrylate) containing block copolymers","authors":"Sungmin Park, Seongjun Jo, Yonghoon Lee, C. Ryu, D. Ryu, J. Chun","doi":"10.1117/12.2221905","DOIUrl":"https://doi.org/10.1117/12.2221905","url":null,"abstract":"We report the synthesis and characterization of novel block copolymer (BCP) materials for the directed self-assembly (DSA) nanolithography applications. Specifically, the poly(t-butyl acrylate) (PtBA) block in the styrenic block copolymers have been chemically modified to a fluorinated block for the enhancement of the BCP χ-parameters. dPSb- PtBA had been first synthesized by anionic polymerization to prepare a well-defined BCP precursor with narrow polydispersity for the fluorination of PtBA block. Then, the precursor BCP was chemically modified by transalcoholysis of the PtBA-block with 2,2,2-trifluoroethanol. This strategy offers the advantage of flexibility and controllability to tailor the χ-parameter, while maintaining the narrow molecular weight distribution of the BCP materials for the advanced lithography applications. The transmission electron microscopy/small angle x-ray scattering (TEM/SAXS) characterization results of the modified BCP consisting of poly(fluoroalkylate) and PS supported the development of highly ordered lamellar domains in bulk.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129326581","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
EUV extendibility via dry development rinse process EUV扩展通过干显影冲洗过程
Pub Date : 2016-04-11 DOI: 10.1117/12.2220113
S. Sayan, T. Zheng, D. De Simone, G. Vandenberghe
Conventional photoresist processing involves resist coating, exposure, post-exposure bake, development, rinse and spin drying of a wafer. DDRP mitigates pattern collapse by applying a special polymer material (DDRM) which replaces the exposed/developed part of the photoresist material before wafer is spin dried. As noted above, the main mechanism of pattern collapse is the capillary forces governed by surface tension of rinse water and its asymmetrical recession from both sides of the lines during the drying step of the develop process. DDRP essentially eliminates these failure mechanisms by replacing remaining rinse water with DDRM and providing a structural framework that support resist lines from both sides during spin dry process. Dry development rinse process (DDRP) eliminates the root causes responsible for pattern collapse of photoresist line structures. Since these collapse mechanisms are mitigated, without the need for changes in the photoresist itself, achievable resolution of the state-of-the-art EUV photoresists can further be improved.
传统的光刻胶加工包括光刻胶涂层、曝光、曝光后烘烤、显影、冲洗和旋转干燥。DDRP通过应用一种特殊的聚合物材料(DDRM)来减轻图案坍塌,该材料在晶圆旋转干燥之前取代光刻胶材料的暴露/显影部分。如上所述,图案崩溃的主要机制是在显影过程的干燥步骤中,由漂洗水表面张力控制的毛细力及其从线条两侧的不对称退缩。DDRP通过用DDRM代替剩余的漂洗水,并提供在旋转干燥过程中从两侧支撑抗阻线的结构框架,从根本上消除了这些失效机制。干显影冲洗工艺(DDRP)消除了造成光刻胶线结构图案塌陷的根本原因。由于这些坍塌机制得到了缓解,无需改变光刻胶本身,因此可以进一步提高最先进的EUV光刻胶的可实现分辨率。
{"title":"EUV extendibility via dry development rinse process","authors":"S. Sayan, T. Zheng, D. De Simone, G. Vandenberghe","doi":"10.1117/12.2220113","DOIUrl":"https://doi.org/10.1117/12.2220113","url":null,"abstract":"Conventional photoresist processing involves resist coating, exposure, post-exposure bake, development, rinse and spin drying of a wafer. DDRP mitigates pattern collapse by applying a special polymer material (DDRM) which replaces the exposed/developed part of the photoresist material before wafer is spin dried. As noted above, the main mechanism of pattern collapse is the capillary forces governed by surface tension of rinse water and its asymmetrical recession from both sides of the lines during the drying step of the develop process. DDRP essentially eliminates these failure mechanisms by replacing remaining rinse water with DDRM and providing a structural framework that support resist lines from both sides during spin dry process. Dry development rinse process (DDRP) eliminates the root causes responsible for pattern collapse of photoresist line structures. Since these collapse mechanisms are mitigated, without the need for changes in the photoresist itself, achievable resolution of the state-of-the-art EUV photoresists can further be improved.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114594454","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1