The electric field distribution and charging effects in Scanning Electron Microscopy (SEM) were studied by extending a Monte-Carlo based SEM simulator by a fast and accurate multigrid (MG) based 3D electric field solver. The main focus is on enabling short simulation times with maintaining sufficient accuracy, so that SEM simulation can be used in practical applications. The implementation demonstrates a gain in computation speed, when compared to a Gauss-Seidel based reference solver is roughly factor of 40, with negligible differences in the result (~10−6 𝑉). In addition, the simulations were compared with experimental SEM measurements using also complex 3D sample, showing that i) the modelling of e-fields improves the simulation accuracy, and ii) multigrid method provide a significant benefit in terms of simulation time.
{"title":"Electric fields in Scanning Electron Microscopy simulations","authors":"K. Arat, J. Bolten, T. Klimpel, N. Unal","doi":"10.1117/12.2219182","DOIUrl":"https://doi.org/10.1117/12.2219182","url":null,"abstract":"The electric field distribution and charging effects in Scanning Electron Microscopy (SEM) were studied by extending a Monte-Carlo based SEM simulator by a fast and accurate multigrid (MG) based 3D electric field solver. The main focus is on enabling short simulation times with maintaining sufficient accuracy, so that SEM simulation can be used in practical applications. The implementation demonstrates a gain in computation speed, when compared to a Gauss-Seidel based reference solver is roughly factor of 40, with negligible differences in the result (~10−6 𝑉). In addition, the simulations were compared with experimental SEM measurements using also complex 3D sample, showing that i) the modelling of e-fields improves the simulation accuracy, and ii) multigrid method provide a significant benefit in terms of simulation time.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123509239","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
A rigorous electromagnetic simulation method for grating diffraction is presented that concurrently enables appropriate roughness and irregularity modeling. The approach will it make possible for example to overlay surface roughness and or line edge roughness (LER) to regular patterns. In this way, a unique tool is provided to model diffraction and scattering at the same time. It is based on a combination of modal methods such as the RCWA or C-method with near field stitching and subsequent near-to-far field propagation. This paves the way to an efficient and accurate modeling of large scattering areas. Fields of applications are the design of spectrographic gratings as well as optical scatterometry or kindred optical metrology techniques. Examples are provided both for 2D line/space patterns with sinusoidal and blaze profiles and 3D line/space patterns possessing LER and line width roughness (LWR). First ideas are derived how to determine LER and LWR from scatterometric measurements.
{"title":"Scatterometry modeling for gratings with roughness and irregularities","authors":"J. Bischoff, K. Hehl","doi":"10.1117/12.2219019","DOIUrl":"https://doi.org/10.1117/12.2219019","url":null,"abstract":"A rigorous electromagnetic simulation method for grating diffraction is presented that concurrently enables appropriate roughness and irregularity modeling. The approach will it make possible for example to overlay surface roughness and or line edge roughness (LER) to regular patterns. In this way, a unique tool is provided to model diffraction and scattering at the same time. It is based on a combination of modal methods such as the RCWA or C-method with near field stitching and subsequent near-to-far field propagation. This paves the way to an efficient and accurate modeling of large scattering areas. Fields of applications are the design of spectrographic gratings as well as optical scatterometry or kindred optical metrology techniques. Examples are provided both for 2D line/space patterns with sinusoidal and blaze profiles and 3D line/space patterns possessing LER and line width roughness (LWR). First ideas are derived how to determine LER and LWR from scatterometric measurements.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"60 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132259787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
J. Hernández-Charpak, T. Frazer, J. Knobloch, K. Hoogeboom-Pot, D. Nardi, W. Chao, Lei Jiang, M. Tripp, S. King, H. Kapteyn, M. Murnane
Coherent extreme ultraviolet beams from tabletop high harmonic generation offer revolutionary capabilities for observing nanoscale systems on their intrinsic length and time scales. By launching and monitoring acoustic waves in such systems, we fully characterize sub-10nm films and find that the Poisson’s ratio of low-k dielectric materials does not stay constant as often assumed, but increases when bond coordination is bellow a critical value. Within the same measurement, by following the heat dissipation dynamics from nano-gratings of width 20-1000nm and different periodicities, we confirm the effects of the newly identified collectively-diffusive regime, where close-spaced nanowires cool faster than widely-spaced ones.
{"title":"Reliable characterization of materials and nanostructured systems <<50nm using coherent EUV beams","authors":"J. Hernández-Charpak, T. Frazer, J. Knobloch, K. Hoogeboom-Pot, D. Nardi, W. Chao, Lei Jiang, M. Tripp, S. King, H. Kapteyn, M. Murnane","doi":"10.1117/12.2219434","DOIUrl":"https://doi.org/10.1117/12.2219434","url":null,"abstract":"Coherent extreme ultraviolet beams from tabletop high harmonic generation offer revolutionary capabilities for observing nanoscale systems on their intrinsic length and time scales. By launching and monitoring acoustic waves in such systems, we fully characterize sub-10nm films and find that the Poisson’s ratio of low-k dielectric materials does not stay constant as often assumed, but increases when bond coordination is bellow a critical value. Within the same measurement, by following the heat dissipation dynamics from nano-gratings of width 20-1000nm and different periodicities, we confirm the effects of the newly identified collectively-diffusive regime, where close-spaced nanowires cool faster than widely-spaced ones.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126748069","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable amounts of computation time.
{"title":"GPU accelerated Monte-Carlo simulation of SEM images for metrology","authors":"T. Verduin, S. Lokhorst, C. W. Hagen","doi":"10.1117/12.2219160","DOIUrl":"https://doi.org/10.1117/12.2219160","url":null,"abstract":"In this work we address the computation times of numerical studies in dimensional metrology. In particular, full Monte-Carlo simulation programs for scanning electron microscopy (SEM) image acquisition are known to be notoriously slow. Our quest in reducing the computation time of SEM image simulation has led us to investigate the use of graphics processing units (GPUs) for metrology. We have succeeded in creating a full Monte-Carlo simulation program for SEM images, which runs entirely on a GPU. The physical scattering models of this GPU simulator are identical to a previous CPU-based simulator, which includes the dielectric function model for inelastic scattering and also refinements for low-voltage SEM applications. As a case study for the performance, we considered the simulated exposure of a complex feature: an isolated silicon line with rough sidewalls located on a at silicon substrate. The surface of the rough feature is decomposed into 408 012 triangles. We have used an exposure dose of 6 mC/cm2, which corresponds to 6 553 600 primary electrons on average (Poisson distributed). We repeat the simulation for various primary electron energies, 300 eV, 500 eV, 800 eV, 1 keV, 3 keV and 5 keV. At first we run the simulation on a GeForce GTX480 from NVIDIA. The very same simulation is duplicated on our CPU-based program, for which we have used an Intel Xeon X5650. Apart from statistics in the simulation, no difference is found between the CPU and GPU simulated results. The GTX480 generates the images (depending on the primary electron energy) 350 to 425 times faster than a single threaded Intel X5650 CPU. Although this is a tremendous speedup, we actually have not reached the maximum throughput because of the limited amount of available memory on the GTX480. Nevertheless, the speedup enables the fast acquisition of simulated SEM images for metrology. We now have the potential to investigate case studies in CD-SEM metrology, which otherwise would take unreasonable amounts of computation time.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115486743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Robert M. Karl, C. Bevis, Raymond Lopez-Rios, Jonathan T. Reichanadter, D. Gardner, Christina L. Porter, E. Shanblatt, M. Tanksalvala, G. Mancini, M. Murnane, H. Kapteyn, D. Adams
We present an extension to ptychography that allows simultaneous deconvolution of multiple, spatially separate, illuminating probes. This enables an increased field of view and hence, an increase in imaging throughput, without increased exposure times. This technique can be used for any non-interfering probes: demonstrated with multiple wavelengths and orthogonal polarizations. The latter of which gives us spatially resolved polarization spectroscopy from a single scan.
{"title":"Multiple beam ptychography","authors":"Robert M. Karl, C. Bevis, Raymond Lopez-Rios, Jonathan T. Reichanadter, D. Gardner, Christina L. Porter, E. Shanblatt, M. Tanksalvala, G. Mancini, M. Murnane, H. Kapteyn, D. Adams","doi":"10.1117/12.2220416","DOIUrl":"https://doi.org/10.1117/12.2220416","url":null,"abstract":"We present an extension to ptychography that allows simultaneous deconvolution of multiple, spatially separate, illuminating probes. This enables an increased field of view and hence, an increase in imaging throughput, without increased exposure times. This technique can be used for any non-interfering probes: demonstrated with multiple wavelengths and orthogonal polarizations. The latter of which gives us spatially resolved polarization spectroscopy from a single scan.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"79 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128611318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of extreme proximity to the sample at distances that are measured in tens of nanometers. For the instrument this poses two major challenges: 1) how to measure the distance to the sample? and 2) how to position accurately and at high speed? For the first challenge near-field thermal radiation is proposed as a mechanism for an integrated distance sensor (patent pending). This sensor is realized by making a sensitive calorimeter (accuracy of 2:31nW root sum squared). When used for distance measurement an equivalent uncertainty of 1nm can be achieved for distances smaller than 100 nm. By scanning the distance sensor over the sample, thermal profilometry is realized, which can be used to inspect surfaces in a non-intrusive and non-contact way. This reduces wear of the probe and minimizes the likelihood of damaging the sample.
{"title":"Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution","authors":"R. Bijster, H. Sadeghian, F. van Keulen","doi":"10.1117/12.2218877","DOIUrl":"https://doi.org/10.1117/12.2218877","url":null,"abstract":"Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of extreme proximity to the sample at distances that are measured in tens of nanometers. For the instrument this poses two major challenges: 1) how to measure the distance to the sample? and 2) how to position accurately and at high speed? For the first challenge near-field thermal radiation is proposed as a mechanism for an integrated distance sensor (patent pending). This sensor is realized by making a sensitive calorimeter (accuracy of 2:31nW root sum squared). When used for distance measurement an equivalent uncertainty of 1nm can be achieved for distances smaller than 100 nm. By scanning the distance sensor over the sample, thermal profilometry is realized, which can be used to inspect surfaces in a non-intrusive and non-contact way. This reduces wear of the probe and minimizes the likelihood of damaging the sample.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"56 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125714452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The merits of a robust Statistical Process Control (SPC) methodology have long been established. In response to the numerous SPC rule combinations, processes, and the high cost of containment, the Instability Index (ISTAB) is presented as a tool for managing these complexities. ISTAB focuses limited resources on key issues and provides a window into the stability of manufacturing operations. ISTAB takes advantage of the statistical nature of processes by comparing the observed average run length (OARL) to the expected run length (ARL), resulting in a gap value called the ISTAB index. The ISTAB index has three characteristic behaviors that are indicative of defects in an SPC instance. Case 1: The observed average run length is excessively long relative to expectation. ISTAB > 0 is indicating the possibility that the limits are too wide. Case 2: The observed average run length is consistent with expectation. ISTAB near zero is indicating that the process is stable. Case 3: The observed average run length is inordinately short relative to expectation. ISTAB < 0 is indicating that the limits are too tight, the process is unstable or both. The probability distribution of run length is the basis for establishing an ARL. We demonstrate that the geometric distribution is a good approximation to run length across a wide variety of rule sets. Excessively long run lengths are associated with one kind of defect in an SPC instance; inordinately short run lengths are associated with another. A sampling distribution is introduced as a way to quantify excessively long and inordinately short observed run lengths. This paper provides detailed guidance for action limits on these run lengths. ISTAB as a statistical method of review facilitates automated instability detection. This paper proposes a management system based on ISTAB as an enhancement to more traditional SPC approaches.
{"title":"A new approach to process control using Instability Index","authors":"Jeffrey Weintraub, S. Warrick","doi":"10.1117/12.2218623","DOIUrl":"https://doi.org/10.1117/12.2218623","url":null,"abstract":"The merits of a robust Statistical Process Control (SPC) methodology have long been established. In response to the numerous SPC rule combinations, processes, and the high cost of containment, the Instability Index (ISTAB) is presented as a tool for managing these complexities. ISTAB focuses limited resources on key issues and provides a window into the stability of manufacturing operations. ISTAB takes advantage of the statistical nature of processes by comparing the observed average run length (OARL) to the expected run length (ARL), resulting in a gap value called the ISTAB index. The ISTAB index has three characteristic behaviors that are indicative of defects in an SPC instance. Case 1: The observed average run length is excessively long relative to expectation. ISTAB > 0 is indicating the possibility that the limits are too wide. Case 2: The observed average run length is consistent with expectation. ISTAB near zero is indicating that the process is stable. Case 3: The observed average run length is inordinately short relative to expectation. ISTAB < 0 is indicating that the limits are too tight, the process is unstable or both. The probability distribution of run length is the basis for establishing an ARL. We demonstrate that the geometric distribution is a good approximation to run length across a wide variety of rule sets. Excessively long run lengths are associated with one kind of defect in an SPC instance; inordinately short run lengths are associated with another. A sampling distribution is introduced as a way to quantify excessively long and inordinately short observed run lengths. This paper provides detailed guidance for action limits on these run lengths. ISTAB as a statistical method of review facilitates automated instability detection. This paper proposes a management system based on ISTAB as an enhancement to more traditional SPC approaches.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129454700","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Na Cai, Xuefeng Zeng, Kevin Wu, Ho Young Song, Weihong Gao, Qing Tian, C. Lei, Kewen Gao, Liuchen Wang, Yan Zhao
We report an optical inspection guided e-beam inspection method for inline monitoring and/or process change validation. We illustrate its advantage through the case of detection of buried voids/unlanding vias, which are identified as yield-limiting defects to cause electrical connectivity failures. We inspected a back end of line (BEOL) wafer after the copper electro plating and chemical mechanical planarization (CMP) process with bright field inspection (BFI) and employed EBI to inspect full wafer with guidance of BFI klarf file. The dark voltage contrast defects were detected and confirmed as buried voids by transmission electron microscopy (TEM).
{"title":"Automated klarf-based defect inspection by electron-beam inspection tool: a novel approach to inline monitoring and/or process change validation","authors":"Na Cai, Xuefeng Zeng, Kevin Wu, Ho Young Song, Weihong Gao, Qing Tian, C. Lei, Kewen Gao, Liuchen Wang, Yan Zhao","doi":"10.1117/12.2218887","DOIUrl":"https://doi.org/10.1117/12.2218887","url":null,"abstract":"We report an optical inspection guided e-beam inspection method for inline monitoring and/or process change validation. We illustrate its advantage through the case of detection of buried voids/unlanding vias, which are identified as yield-limiting defects to cause electrical connectivity failures. We inspected a back end of line (BEOL) wafer after the copper electro plating and chemical mechanical planarization (CMP) process with bright field inspection (BFI) and employed EBI to inspect full wafer with guidance of BFI klarf file. The dark voltage contrast defects were detected and confirmed as buried voids by transmission electron microscopy (TEM).","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"504 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116328404","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Sungmin Park, Seongjun Jo, Yonghoon Lee, C. Ryu, D. Ryu, J. Chun
We report the synthesis and characterization of novel block copolymer (BCP) materials for the directed self-assembly (DSA) nanolithography applications. Specifically, the poly(t-butyl acrylate) (PtBA) block in the styrenic block copolymers have been chemically modified to a fluorinated block for the enhancement of the BCP χ-parameters. dPSb- PtBA had been first synthesized by anionic polymerization to prepare a well-defined BCP precursor with narrow polydispersity for the fluorination of PtBA block. Then, the precursor BCP was chemically modified by transalcoholysis of the PtBA-block with 2,2,2-trifluoroethanol. This strategy offers the advantage of flexibility and controllability to tailor the χ-parameter, while maintaining the narrow molecular weight distribution of the BCP materials for the advanced lithography applications. The transmission electron microscopy/small angle x-ray scattering (TEM/SAXS) characterization results of the modified BCP consisting of poly(fluoroalkylate) and PS supported the development of highly ordered lamellar domains in bulk.
{"title":"High χ block copolymers based on chemical modification of poly(t-butyl acrylate) containing block copolymers","authors":"Sungmin Park, Seongjun Jo, Yonghoon Lee, C. Ryu, D. Ryu, J. Chun","doi":"10.1117/12.2221905","DOIUrl":"https://doi.org/10.1117/12.2221905","url":null,"abstract":"We report the synthesis and characterization of novel block copolymer (BCP) materials for the directed self-assembly (DSA) nanolithography applications. Specifically, the poly(t-butyl acrylate) (PtBA) block in the styrenic block copolymers have been chemically modified to a fluorinated block for the enhancement of the BCP χ-parameters. dPSb- PtBA had been first synthesized by anionic polymerization to prepare a well-defined BCP precursor with narrow polydispersity for the fluorination of PtBA block. Then, the precursor BCP was chemically modified by transalcoholysis of the PtBA-block with 2,2,2-trifluoroethanol. This strategy offers the advantage of flexibility and controllability to tailor the χ-parameter, while maintaining the narrow molecular weight distribution of the BCP materials for the advanced lithography applications. The transmission electron microscopy/small angle x-ray scattering (TEM/SAXS) characterization results of the modified BCP consisting of poly(fluoroalkylate) and PS supported the development of highly ordered lamellar domains in bulk.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129326581","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Conventional photoresist processing involves resist coating, exposure, post-exposure bake, development, rinse and spin drying of a wafer. DDRP mitigates pattern collapse by applying a special polymer material (DDRM) which replaces the exposed/developed part of the photoresist material before wafer is spin dried. As noted above, the main mechanism of pattern collapse is the capillary forces governed by surface tension of rinse water and its asymmetrical recession from both sides of the lines during the drying step of the develop process. DDRP essentially eliminates these failure mechanisms by replacing remaining rinse water with DDRM and providing a structural framework that support resist lines from both sides during spin dry process. Dry development rinse process (DDRP) eliminates the root causes responsible for pattern collapse of photoresist line structures. Since these collapse mechanisms are mitigated, without the need for changes in the photoresist itself, achievable resolution of the state-of-the-art EUV photoresists can further be improved.
{"title":"EUV extendibility via dry development rinse process","authors":"S. Sayan, T. Zheng, D. De Simone, G. Vandenberghe","doi":"10.1117/12.2220113","DOIUrl":"https://doi.org/10.1117/12.2220113","url":null,"abstract":"Conventional photoresist processing involves resist coating, exposure, post-exposure bake, development, rinse and spin drying of a wafer. DDRP mitigates pattern collapse by applying a special polymer material (DDRM) which replaces the exposed/developed part of the photoresist material before wafer is spin dried. As noted above, the main mechanism of pattern collapse is the capillary forces governed by surface tension of rinse water and its asymmetrical recession from both sides of the lines during the drying step of the develop process. DDRP essentially eliminates these failure mechanisms by replacing remaining rinse water with DDRM and providing a structural framework that support resist lines from both sides during spin dry process. Dry development rinse process (DDRP) eliminates the root causes responsible for pattern collapse of photoresist line structures. Since these collapse mechanisms are mitigated, without the need for changes in the photoresist itself, achievable resolution of the state-of-the-art EUV photoresists can further be improved.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"5 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-04-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114594454","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}