首页 > 最新文献

SPIE Advanced Lithography最新文献

英文 中文
Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD) 基于功率谱密度分析(PSD)的高级图纹加工边缘粗糙度表征
Pub Date : 2016-03-28 DOI: 10.1117/12.2220814
S. Levi, I. Schwarzband, R. Kris, O. Adan, Elly Shi, Ying Zhang, Kevin A. Zhou
Self-Aligned Quadruple Patterning (SAQP) is targeted to support the sub 10nm technology nodes. It is consisted of several process steps starting with lithography and Etch to define the pattern backbone. Followed by additional set of processes based on thin-films deposition and etch that quadruple the number of patterns, shrinking pattern and pitch sizes. Pattern roughness is derived from the physical and chemical characteristics of these process steps. It is changing with each of the SAQP process steps, based on material stack and the etch process characteristics. Relative to a sub 10 nm pattern sizes pattern, edge roughness can significantly impact pattern physical dimensions. Unless controlled it can increase the variability of device electrical performance, and reduce yield. In this paper we present the SAQP process steps and roughness characterization, performed with Power Spectral Density (PSD) methodology. Experimental results demonstrates the ability of PSD analysis to sensitively reflect detailed characterization of process roughness, guiding process development improvements, and enabling roughness monitoring for production.
自对齐四重模式(SAQP)旨在支持10nm以下的技术节点。它由几个工艺步骤组成,从光刻和蚀刻开始,以确定图案主干。其次是基于薄膜沉积和蚀刻的附加工艺,使图案数量增加四倍,图案缩小和间距尺寸。图案粗糙度来源于这些工艺步骤的物理和化学特性。根据材料堆积和蚀刻工艺的特点,它随着SAQP工艺的每个步骤而变化。相对于低于10纳米的图案尺寸,边缘粗糙度可以显著影响图案的物理尺寸。除非加以控制,否则会增加器件电气性能的可变性,并降低成品率。在本文中,我们介绍了SAQP的工艺步骤和粗糙度表征,用功率谱密度(PSD)方法进行。实验结果表明,PSD分析能够灵敏地反映工艺粗糙度的详细特征,指导工艺开发改进,并使生产粗糙度监测成为可能。
{"title":"Edge roughness characterization of advanced patterning processes using power spectral density analysis (PSD)","authors":"S. Levi, I. Schwarzband, R. Kris, O. Adan, Elly Shi, Ying Zhang, Kevin A. Zhou","doi":"10.1117/12.2220814","DOIUrl":"https://doi.org/10.1117/12.2220814","url":null,"abstract":"Self-Aligned Quadruple Patterning (SAQP) is targeted to support the sub 10nm technology nodes. It is consisted of several process steps starting with lithography and Etch to define the pattern backbone. Followed by additional set of processes based on thin-films deposition and etch that quadruple the number of patterns, shrinking pattern and pitch sizes. Pattern roughness is derived from the physical and chemical characteristics of these process steps. It is changing with each of the SAQP process steps, based on material stack and the etch process characteristics. Relative to a sub 10 nm pattern sizes pattern, edge roughness can significantly impact pattern physical dimensions. Unless controlled it can increase the variability of device electrical performance, and reduce yield. In this paper we present the SAQP process steps and roughness characterization, performed with Power Spectral Density (PSD) methodology. Experimental results demonstrates the ability of PSD analysis to sensitively reflect detailed characterization of process roughness, guiding process development improvements, and enabling roughness monitoring for production.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"299 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132520200","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Studying electron-PAG interactions using electron-induced fluorescence 利用电子诱导荧光研究电子- pag相互作用
Pub Date : 2016-03-25 DOI: 10.1117/12.2219850
Amrit K. Narasimhan, Steven Grzeskowiak, Jonathan Ostrander, Jonathon Schad, E. Rebeyev, M. Neisser, L. Ocola, G. Denbeaux, R. Brainard
In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism – internal excitation – in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.
在极紫外(EUV)光刻中,使用92 eV光子来曝光光刻胶。典型的EUV电阻是有机基的,使用光酸发生器(PAGs)进行化学扩增。暴露后,聚乙二醇产生酸,催化反应,导致溶解度的变化。在极紫外光刻技术中,光电子和二次电子(能量为10- 80 eV)在PAG酸的产生中起着重要作用。电子- pag相互作用的几种机制(如电子捕获和空穴引发化学)已经被提出。这项研究的目的是探索另一种机制——内部激发——在这种机制中,一个结合的PAG电子可以通过接收另一个高能电子的能量而被激发,从而引起产生酸的反应。本文通过电子诱导荧光的类似过程探索了内部激发的机制,其中电子通过将该能量转移到分子而失去能量,该分子发射光子而不是分解。我们将展示和量化聚合物薄膜中几种荧光团的电子诱导荧光,以模拟抗蚀剂材料,并利用这些信息来完善我们提出的机制。荧光团的分子结构与荧光量子产率之间的关系可能有助于开发用于EUV光刻的新型pag。
{"title":"Studying electron-PAG interactions using electron-induced fluorescence","authors":"Amrit K. Narasimhan, Steven Grzeskowiak, Jonathan Ostrander, Jonathon Schad, E. Rebeyev, M. Neisser, L. Ocola, G. Denbeaux, R. Brainard","doi":"10.1117/12.2219850","DOIUrl":"https://doi.org/10.1117/12.2219850","url":null,"abstract":"In extreme ultraviolet (EUV) lithography, 92 eV photons are used to expose photoresists. Typical EUV resists are organic-based and chemically amplified using photoacid generators (PAGs). Upon exposure, PAGs produce acids which catalyze reactions that result in changes in solubility. In EUV lithography, photo- and secondary electrons (energies of 10- 80 eV) play a large role in PAG acid-production. Several mechanisms for electron-PAG interactions (e.g. electron trapping, and hole-initiated chemistry) have been proposed. The aim of this study is to explore another mechanism – internal excitation – in which a bound PAG electron can be excited by receiving energy from another energetic electron, causing a reaction that produces acid. This paper explores the mechanism of internal excitation through the analogous process of electron-induced fluorescence, in which an electron loses energy by transferring that energy to a molecule and that molecule emits a photon rather than decomposing. We will show and quantify electron-induced fluorescence of several fluorophores in polymer films to mimic resist materials, and use this information to refine our proposed mechanism. Relationships between the molecular structure of fluorophores and fluorescent quantum yield may aid in the development of novel PAGs for EUV lithography.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"85 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122746909","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Filtration on block copolymer solution used in directed self assembly lithography 定向自组装光刻中嵌段共聚物溶液的过滤
Pub Date : 2016-03-25 DOI: 10.1117/12.2219423
T. Umeda, T. Takakura, S. Tsuzuki
In this paper, we presented the filtration effects on block copolymers (BCP) that are commonly used in directed self-assembly lithographic (DSAL) imaging schemes. Specifically we focused on filtration effects on micro-contaminants such as metal ions and metal induced gels. Gel removal efficiency studies carried out with HDPE, Nylon and PTFE filters pointed out that Nylon 6,6 membrane is the most effective in removing gels in block copolymer (BCP) solutions. Metal removal efficiency studies were conducted using multistep filtrations such as repetitive filtration of single membrane material and combination of different type of membranes. Results showed that a combination of Nylon-6,6 and ion-exchange filters is highly effective in reducing metals such as Li, Mg and Al to > 99.99% efficiency. The mechanism of metal removal efficiency is discussed in detail.
本文介绍了在定向自组装光刻(DSAL)成像方案中常用的嵌段共聚物(BCP)的过滤效应。具体来说,我们重点研究了金属离子和金属诱导凝胶等微污染物的过滤效果。用HDPE、尼龙和聚四氟乙烯过滤器进行的凝胶去除效率研究指出,尼龙6,6膜在去除嵌段共聚物(BCP)溶液中的凝胶方面最有效。采用单膜材料的重复过滤和不同类型膜的组合等多步骤过滤对金属的去除效率进行了研究。结果表明,尼龙-6、尼龙-6与离子交换过滤器的组合对还原Li、Mg、Al等金属具有很高的效率,还原效率达到99.99%。详细讨论了金属去除效率的机理。
{"title":"Filtration on block copolymer solution used in directed self assembly lithography","authors":"T. Umeda, T. Takakura, S. Tsuzuki","doi":"10.1117/12.2219423","DOIUrl":"https://doi.org/10.1117/12.2219423","url":null,"abstract":"In this paper, we presented the filtration effects on block copolymers (BCP) that are commonly used in directed self-assembly lithographic (DSAL) imaging schemes. Specifically we focused on filtration effects on micro-contaminants such as metal ions and metal induced gels. Gel removal efficiency studies carried out with HDPE, Nylon and PTFE filters pointed out that Nylon 6,6 membrane is the most effective in removing gels in block copolymer (BCP) solutions. Metal removal efficiency studies were conducted using multistep filtrations such as repetitive filtration of single membrane material and combination of different type of membranes. Results showed that a combination of Nylon-6,6 and ion-exchange filters is highly effective in reducing metals such as Li, Mg and Al to > 99.99% efficiency. The mechanism of metal removal efficiency is discussed in detail.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"1037 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116271502","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Directed self-assembly materials for high resolution beyond PS-b-PMMA 定向自组装材料的高分辨率超过PS-b-PMMA
Pub Date : 2016-03-25 DOI: 10.1117/12.2220424
Eri Hirahara, M. Paunescu, O. Polishchuk, E. Jeong, Edward Ng, J. Shan, Jian Yin, Jihoon Kim, Yi Cao, Jin Li, Sungeun Hong, D. Baskaran, Guanyang Lin
To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.
为了扩展聚苯乙烯-b-甲基丙烯酸甲酯(PS-b-PMMA)的定向自组装(DSA),以获得更高的分辨率,放置精度和潜在的改进的模式线边缘粗糙度(LER),我们开发了下一代有机高χ嵌段共聚物(“HC系列”,AZEMBLYTM EXP PME-3000系列)的材料平台。新材料平台具有内置的方向控制机制,使嵌段共聚物域能够垂直自适应,无需面漆/添加剂或精细的溶剂蒸气退火。此外,通过两种主要的化学外延DSA, LiNe和SMARTTM工艺,在12英寸晶圆衬底上成功地实现了低于10纳米的线和空间(L/S)图像化。结果表明,新材料平台与现有的基于ps -b- pmma的化学预模和标准方案兼容。我们还在传统的PS-b-PMMA系统中引入了内置的定向控制策略,生产了易于定向控制的新一代PS-b-PMMA材料。改良的PS-b-PMMA (m-PS-b-PMMA)进行LiNe flow DSA,在L/S模式转移到Si衬底后,产生可比的CD工艺窗口,改善了LER/LWR/SWR。
{"title":"Directed self-assembly materials for high resolution beyond PS-b-PMMA","authors":"Eri Hirahara, M. Paunescu, O. Polishchuk, E. Jeong, Edward Ng, J. Shan, Jian Yin, Jihoon Kim, Yi Cao, Jin Li, Sungeun Hong, D. Baskaran, Guanyang Lin","doi":"10.1117/12.2220424","DOIUrl":"https://doi.org/10.1117/12.2220424","url":null,"abstract":"To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134376272","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Metal reduction at point-of-use filtration 过滤时的金属还原
Pub Date : 2016-03-25 DOI: 10.1117/12.2218400
T. Umeda, S. Daikoku, R. Varanasi, S. Tsuzuki
We explored the metal removal efficiency of Nylon 6,6 and HDPE (High Density Polyethylene) membrane based filters, in solvents of varying degree of polarity such as Cyclohexanone and 70:30 mixture of PGME (Propylene Glycol Monomethyl Ether) and PGMEA (Propylene Glycol Monomethyl Ether), In all the solvents tested, Nylon 6,6 membrane filtration was found to be significantly more effective in removing metals than HDPE membranes, regardless of their respective membrane pore sizes. Hydrophilic interaction chromatography (HILIC) mechanism was invoked to rationalize metal removal efficiency dependence on solvent hydrophobicity.
我们探索了尼龙6,6和HDPE(高密度聚乙烯)膜过滤器在不同极性溶剂中的金属去除效率,如环己酮和70:30的PGME(丙二醇单甲醚)和PGMEA(丙二醇单甲醚)混合物。在所有测试的溶剂中,尼龙6,6膜过滤在去除金属方面明显比HDPE膜更有效,无论它们各自的膜孔径大小如何。采用亲水性相互作用色谱(HILIC)机制来解释溶剂疏水性对金属去除效率的影响。
{"title":"Metal reduction at point-of-use filtration","authors":"T. Umeda, S. Daikoku, R. Varanasi, S. Tsuzuki","doi":"10.1117/12.2218400","DOIUrl":"https://doi.org/10.1117/12.2218400","url":null,"abstract":"We explored the metal removal efficiency of Nylon 6,6 and HDPE (High Density Polyethylene) membrane based filters, in solvents of varying degree of polarity such as Cyclohexanone and 70:30 mixture of PGME (Propylene Glycol Monomethyl Ether) and PGMEA (Propylene Glycol Monomethyl Ether), In all the solvents tested, Nylon 6,6 membrane filtration was found to be significantly more effective in removing metals than HDPE membranes, regardless of their respective membrane pore sizes. Hydrophilic interaction chromatography (HILIC) mechanism was invoked to rationalize metal removal efficiency dependence on solvent hydrophobicity.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123553043","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Holistic overlay control for multi-patterning process layers at the 10nm and 7nm nodes 10nm和7nm节点的多图案工艺层的整体覆盖控制
Pub Date : 2016-03-25 DOI: 10.1117/12.2230390
Leon Verstappen, E. Mos, Peter H Wardenier, H. Megens, Emil Schmitt-Weaver, K. Bhattacharyya, O. Adam, G. Grzela, Joost van Heijst, Lotte Willems, Jochem Wildenberg, Velislava Ignatova, Albert Chen, Frank Elich, B. Rajasekharan, Lydia Vergaij-Huizer, Brian Lewis, M. Kea, J. Mulkens
Multi-patterning lithography at the 10-nm and 7-nm nodes is driving the allowed overlay error down to extreme low values. Advanced high order overlay correction schemes are needed to control the process variability. Additionally the increase of the number of split layers results in an exponential increase of metrology complexity of the total overlay and alignment tree. At the same time, the process stack includes more hard-mask steps and becomes more and more complex, with as consequence that the setup and verification of the overlay metrology recipe becomes more critical. All of the above require a holistic approach that addresses total overlay optimization from process design to process setup and control in volume manufacturing. In this paper we will present the holistic overlay control flow designed for 10-nm and 7-nm nodes and illustrate the achievable ultimate overlay performance for a logic and DRAM use case. As figure 1 illustrates we will explain the details of the steps in the holistic flow. Overlay accuracy is the driver for target design and metrology tool optimization like wavelength and polarization. We will show that it is essential to include processing effects like etching and CMP which can result in a physical asymmetry of the bottom grating of diffraction based overlay targets. We will introduce a new method to create a reference overlay map, based on metrology data using multiple wavelengths and polarization settings. A similar approach is developed for the wafer alignment step. The overlay fingerprint correction using linear or high order correction per exposure (CPE) has a large amount of parameters. It is critical to balance the metrology noise with the ultimate correction model and the related metrology sampling scheme. Similar approach is needed for the wafer align step. Both for overlay control as well as alignment we have developed methods which include efficient use of metrology time, available for an in the litho-cluster integrated metrology use. These methods include a novel set models that efficiently describe different process fingerprints. We will explain the methods and show the benefits for logic and DRAM use cases.
10nm和7nm节点的多模式光刻技术将允许的覆盖误差降低到极低的值。需要先进的高阶叠加校正方案来控制过程的可变性。此外,劈裂层数的增加导致总覆盖和对准树的计量复杂性呈指数增长。同时,工艺堆栈包含了更多的硬掩模步骤,并且变得越来越复杂,这使得叠加计量配方的建立和验证变得更加关键。所有这些都需要一个整体的方法来解决从工艺设计到工艺设置和批量制造控制的总覆盖优化。在本文中,我们将介绍为10nm和7nm节点设计的整体覆盖控制流,并举例说明逻辑和DRAM用例可实现的最终覆盖性能。如图1所示,我们将解释整体流程中各个步骤的细节。覆盖精度是目标设计和测量工具优化的驱动因素,如波长和偏振。我们将表明,必须包括蚀刻和CMP等处理效应,这可能导致基于衍射的覆盖目标的底部光栅的物理不对称。我们将介绍一种新的方法来创建参考叠加图,基于计量数据使用多个波长和偏振设置。对于晶圆对准步骤,开发了类似的方法。采用线性或高阶每次曝光校正(CPE)的叠加指纹校正具有大量的参数。最终校正模型和相应的计量采样方案是平衡计量噪声的关键。晶圆对准步骤也需要类似的方法。无论是覆盖控制和对准,我们已经开发的方法,包括有效利用计量时间,可用于在岩石集群集成计量使用。这些方法包括一套新的模型,可以有效地描述不同的工艺指纹。我们将解释这些方法,并展示逻辑和DRAM用例的好处。
{"title":"Holistic overlay control for multi-patterning process layers at the 10nm and 7nm nodes","authors":"Leon Verstappen, E. Mos, Peter H Wardenier, H. Megens, Emil Schmitt-Weaver, K. Bhattacharyya, O. Adam, G. Grzela, Joost van Heijst, Lotte Willems, Jochem Wildenberg, Velislava Ignatova, Albert Chen, Frank Elich, B. Rajasekharan, Lydia Vergaij-Huizer, Brian Lewis, M. Kea, J. Mulkens","doi":"10.1117/12.2230390","DOIUrl":"https://doi.org/10.1117/12.2230390","url":null,"abstract":"Multi-patterning lithography at the 10-nm and 7-nm nodes is driving the allowed overlay error down to extreme low values. Advanced high order overlay correction schemes are needed to control the process variability. Additionally the increase of the number of split layers results in an exponential increase of metrology complexity of the total overlay and alignment tree. At the same time, the process stack includes more hard-mask steps and becomes more and more complex, with as consequence that the setup and verification of the overlay metrology recipe becomes more critical. All of the above require a holistic approach that addresses total overlay optimization from process design to process setup and control in volume manufacturing. In this paper we will present the holistic overlay control flow designed for 10-nm and 7-nm nodes and illustrate the achievable ultimate overlay performance for a logic and DRAM use case. As figure 1 illustrates we will explain the details of the steps in the holistic flow. Overlay accuracy is the driver for target design and metrology tool optimization like wavelength and polarization. We will show that it is essential to include processing effects like etching and CMP which can result in a physical asymmetry of the bottom grating of diffraction based overlay targets. We will introduce a new method to create a reference overlay map, based on metrology data using multiple wavelengths and polarization settings. A similar approach is developed for the wafer alignment step. The overlay fingerprint correction using linear or high order correction per exposure (CPE) has a large amount of parameters. It is critical to balance the metrology noise with the ultimate correction model and the related metrology sampling scheme. Similar approach is needed for the wafer align step. Both for overlay control as well as alignment we have developed methods which include efficient use of metrology time, available for an in the litho-cluster integrated metrology use. These methods include a novel set models that efficiently describe different process fingerprints. We will explain the methods and show the benefits for logic and DRAM use cases.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121917600","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Considerations for fine hole patterning for the 7nm node 对7nm节点的细孔图案的考虑
Pub Date : 2016-03-25 DOI: 10.1117/12.2218774
H. Yaegashi, K. Oyama, A. Hara, Sakurako Natori, Shohei Yamauchi, Masatoshi Yamato, K. Koike
One of the practical candidates to produce 7nm node logic devices is to use the multiple patterning with 193-immersion exposure. For the multiple patterning, it is important to evaluate the relation between the number of mask layer and the minimum pitch systematically to judge the device manufacturability. Although the number of the time of patterning, namely LE(Litho-Etch) ^ x-time, and overlay steps have to be reduced, there are some challenges in miniaturization of hole size below 20nm. Various process fluctuations on contact hole have a direct impact on device performance. According to the technical trend, 12nm diameter hole on 30nm-pitch hole will be needed on 7nm node. Extreme ultraviolet lithography (EUV) and Directed self-assembly (DSA) are attracting considerable attention to obtain small feature size pattern, however, 193-immersion still has the potential to extend optical lithography cost-effectively for sub-7nm node. The objective of this work is to study the process variation challenges and resolution in post-processing for the CD-bias control to meet sub-20nm diameter contact hole. Another pattern modulation is also demonstrated during post-processing step for hole shrink. With the realization that pattern fidelity and pattern placement management will limit scaling long before devices and interconnects fail to perform intrinsically, the talk will also outline how circle edge roughness (CER) and Local-CD uniformity can correct efficiency. On the other hand, 1D Gridded-Design-Rules layout (1D layout) has simple rectangular shapes. Also, we have demonstrated CD-bias modification on short trench pattern to cut grating line for its fabrication.
生产7nm节点逻辑器件的实际候选方案之一是使用193浸没曝光的多重模式。对于多模化,系统地评估掩模层数与最小间距之间的关系对于判断器件的可制造性具有重要意义。虽然图案时间(即光刻时间)和覆盖步骤必须减少,但在20nm以下的孔尺寸小型化方面存在一些挑战。接触孔的各种工艺波动直接影响器件的性能。根据技术趋势,在7nm节点上,将需要在30nm节距孔上安装12nm直径孔。极紫外光刻技术(EUV)和定向自组装技术(DSA)在获得小特征尺寸图形方面备受关注,但193-immersion仍有潜力将光刻技术经济有效地扩展到7nm以下节点。本研究的目的是研究满足直径小于20nm的接触孔的cd偏置控制的工艺变化挑战和后处理解决方案。在空穴收缩的后处理步骤中,还演示了另一种模式调制。由于认识到模式保真度和模式放置管理将在设备和互连无法内在执行之前很久就限制缩放,该演讲还将概述圆边缘粗糙度(CER)和Local-CD均匀性如何纠正效率。另一方面,1D Gridded-Design-Rules布局(1D布局)具有简单的矩形形状。此外,我们还演示了在短沟槽图案上修改cd偏压以切割光栅线的方法。
{"title":"Considerations for fine hole patterning for the 7nm node","authors":"H. Yaegashi, K. Oyama, A. Hara, Sakurako Natori, Shohei Yamauchi, Masatoshi Yamato, K. Koike","doi":"10.1117/12.2218774","DOIUrl":"https://doi.org/10.1117/12.2218774","url":null,"abstract":"One of the practical candidates to produce 7nm node logic devices is to use the multiple patterning with 193-immersion exposure. For the multiple patterning, it is important to evaluate the relation between the number of mask layer and the minimum pitch systematically to judge the device manufacturability. Although the number of the time of patterning, namely LE(Litho-Etch) ^ x-time, and overlay steps have to be reduced, there are some challenges in miniaturization of hole size below 20nm. Various process fluctuations on contact hole have a direct impact on device performance. According to the technical trend, 12nm diameter hole on 30nm-pitch hole will be needed on 7nm node. Extreme ultraviolet lithography (EUV) and Directed self-assembly (DSA) are attracting considerable attention to obtain small feature size pattern, however, 193-immersion still has the potential to extend optical lithography cost-effectively for sub-7nm node. The objective of this work is to study the process variation challenges and resolution in post-processing for the CD-bias control to meet sub-20nm diameter contact hole. Another pattern modulation is also demonstrated during post-processing step for hole shrink. With the realization that pattern fidelity and pattern placement management will limit scaling long before devices and interconnects fail to perform intrinsically, the talk will also outline how circle edge roughness (CER) and Local-CD uniformity can correct efficiency. On the other hand, 1D Gridded-Design-Rules layout (1D layout) has simple rectangular shapes. Also, we have demonstrated CD-bias modification on short trench pattern to cut grating line for its fabrication.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"34 2","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"113981017","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Planarization of topography with spin-on carbon hard mask 自旋碳硬掩膜的地形平面化
Pub Date : 2016-03-25 DOI: 10.1117/12.2218504
G. Noya, Y. Hama, Maki Ishii, S. Nakasugi, T. Kudo, M. Padmanaban
Spin-on-carbon hard mask (SOC HM) has been used in semiconductor manufacturing since 45nm node as an alternative carbon hard mask process to chemical vapor deposition (CVD). As advancement of semiconductor to 2X nm nodes and beyond, multiple patterning technology is used and planarization of topography become more important and challenging ever before. In order to develop next generation SOC, one of focuses is planarization of topography. SOC with different concepts for improved planarization and the influence of thermal flow temperature, crosslink, film shrinkage, baking conditions on planarization and filling performance are described in this paper.
自旋碳硬掩膜(SOC HM)作为化学气相沉积(CVD)的替代碳硬掩膜工艺,从45nm节点开始应用于半导体制造。随着半导体向2X nm及以上节点的发展,多种图像化技术的应用,使得地形的平面化变得越来越重要和具有挑战性。为了开发下一代SOC,地形平面化是研究的重点之一。本文介绍了不同概念的SOC改善平面化,以及热流温度、交联、薄膜收缩率、烘烤条件对平面化和填充性能的影响。
{"title":"Planarization of topography with spin-on carbon hard mask","authors":"G. Noya, Y. Hama, Maki Ishii, S. Nakasugi, T. Kudo, M. Padmanaban","doi":"10.1117/12.2218504","DOIUrl":"https://doi.org/10.1117/12.2218504","url":null,"abstract":"Spin-on-carbon hard mask (SOC HM) has been used in semiconductor manufacturing since 45nm node as an alternative carbon hard mask process to chemical vapor deposition (CVD). As advancement of semiconductor to 2X nm nodes and beyond, multiple patterning technology is used and planarization of topography become more important and challenging ever before. In order to develop next generation SOC, one of focuses is planarization of topography. SOC with different concepts for improved planarization and the influence of thermal flow temperature, crosslink, film shrinkage, baking conditions on planarization and filling performance are described in this paper.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"24 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131428350","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
An improved method for characterizing photoresist lithographic and defectivity performance for sub-20nm node lithography 一种改进的表征亚20nm节点光刻光刻和缺陷性能的方法
Pub Date : 2016-03-25 DOI: 10.1117/12.2219375
G. Amblard, Sarah Purdy, R. Cooper, Marjory Hockaday
The overall quality and processing capability of lithographic materials are critical for ensuring high device yield and performance at sub-20nm technology nodes in a high volume manufacturing environment. Insufficient process margin and high line width roughness (LWR) cause poor manufacturing control, while high defectivity causes product failures. In this paper, we focus on the most critical layer of a sub-20nm technology node LSI device, and present an improved method for characterizing both lithographic and post-patterning defectivity performance of state-of-the-art immersion photoresists. Multiple formulations from different suppliers were used and compared. Photoresists were tested under various process conditions, and multiple lithographic metrics were investigated (depth of focus, exposure dose latitude, line width roughness, etc.). Results were analyzed and combined using an innovative approach based on advanced software, providing clearer results than previously available. This increased detail enables more accurate performance comparisons among the different photoresists. Post-patterning defectivity was also quantified, with defects reviewed and classified using state-of-the-art inspection tools. Correlations were established between the lithographic and post-patterning defectivity performances for each material, and overall ranking was established among the photoresists, enabling the selection of the best performer for implementation in a high volume manufacturing environment.
在大批量生产环境中,光刻材料的整体质量和加工能力对于确保20nm以下技术节点的高器件良率和性能至关重要。工艺余量不足和线宽粗糙度(LWR)过高导致制造控制不良,而高缺陷导致产品失效。在本文中,我们专注于亚20nm技术节点LSI器件的最关键层,并提出了一种改进的方法来表征最先进的浸没光阻光刻和后模化缺陷性能。使用并比较了来自不同供应商的多种配方。在各种工艺条件下测试了光刻胶,并研究了多种光刻指标(聚焦深度,曝光剂量纬度,线宽粗糙度等)。使用基于先进软件的创新方法对结果进行分析和组合,提供比以前更清晰的结果。这种增加的细节使得不同光刻胶之间的性能比较更加准确。制作后的缺陷也被量化,使用最先进的检查工具对缺陷进行审查和分类。建立了每种材料的光刻和后制版缺陷性能之间的相关性,并在光刻胶中建立了总体排名,从而能够在大批量制造环境中选择性能最佳的材料。
{"title":"An improved method for characterizing photoresist lithographic and defectivity performance for sub-20nm node lithography","authors":"G. Amblard, Sarah Purdy, R. Cooper, Marjory Hockaday","doi":"10.1117/12.2219375","DOIUrl":"https://doi.org/10.1117/12.2219375","url":null,"abstract":"The overall quality and processing capability of lithographic materials are critical for ensuring high device yield and performance at sub-20nm technology nodes in a high volume manufacturing environment. Insufficient process margin and high line width roughness (LWR) cause poor manufacturing control, while high defectivity causes product failures. In this paper, we focus on the most critical layer of a sub-20nm technology node LSI device, and present an improved method for characterizing both lithographic and post-patterning defectivity performance of state-of-the-art immersion photoresists. Multiple formulations from different suppliers were used and compared. Photoresists were tested under various process conditions, and multiple lithographic metrics were investigated (depth of focus, exposure dose latitude, line width roughness, etc.). Results were analyzed and combined using an innovative approach based on advanced software, providing clearer results than previously available. This increased detail enables more accurate performance comparisons among the different photoresists. Post-patterning defectivity was also quantified, with defects reviewed and classified using state-of-the-art inspection tools. Correlations were established between the lithographic and post-patterning defectivity performances for each material, and overall ranking was established among the photoresists, enabling the selection of the best performer for implementation in a high volume manufacturing environment.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134305041","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Novel pattern trimming and shrink material (PTM (PTD) and PSM (NTI)) for ArF/EUV extension 用于ArF/EUV扩展的新型图案修剪和收缩材料(PTM (PTD)和PSM (NTI))
Pub Date : 2016-03-25 DOI: 10.1117/12.2218826
Tokio Nishita, Rikimaru Sakamoto
In recent years, as next-generation lithography, various exposure techniques have been studied such as Extreme Ultraviolet Lithography (EUVL), Directed Self Assembly (DSA) and multiple patterning processes. In particular, EUVL is the most promising candidate for the high volume manufacturing below N7 node. However, there are many problems to be solved such as materials, through put of the exposure tool and mask defect. With respect to the DSA, the fine patterning with block copolymer has been studied. But the DSA process also has the several problems such as the complicated process flow in chemo process, quality of the block copolymer and defect. On the other hand, although the multiple patterning has been applied the device manufacturing for several years, there are some problems such as significant increase in cost due to increasing of the process steps and the overlay accuracy at the multiple process steps. Therefore, Pattern Trimming Materials (PTM) and Pattern Shrink Materials (PSM) were developed for miniaturization using the current exposure technology. The PTM is applied on a resist pattern produced in a Positive Tone Development (PTD) process and trim the resist pattern. It is possible to control the trimming amount by changing the formulation and the baking process. It has been confirmed that the effectiveness of PTM is not only for the L/S pattern, but also for the 2D pattern like pillar pattern. At the same time, it is confirmed that the PTM can improve the Line Width Roughness (LWR) and Local Critical Dimension Uniformity (LCDU). On the other hand, the PSM is applied on the pattern prepared in a Negative Tone Imaging (NTI) process and then it can shrink the resist pattern after baking. We adopted the new concept for pattern shrinkage process which dramatically improved LCDU with the hole shrinkage. In this paper, we demonstrated the L/S and pillar pattern trimming by PTM and the C/H shrink by PSM with ArF immersion (ArF im) condition and EUV condition. In the future, PTM and PSM are expected to be applied in not only ArF im patterning process but also in EUVL.
近年来,作为下一代光刻技术,各种曝光技术如极紫外光刻(EUVL)、定向自组装(DSA)和多图像化工艺得到了研究。特别是,EUVL是N7节点以下的大批量生产最有希望的候选者。但是,有许多问题需要解决,如材料,通过曝光工具的放置和掩模缺陷。在DSA方面,研究了嵌段共聚物的精细图案。但DSA工艺也存在化学过程中工艺流程复杂、嵌段共聚物质量和缺陷等问题。另一方面,虽然在器件制造中应用了多年,但由于工艺步骤的增加和多工艺步骤的覆盖精度的提高,存在成本显著增加等问题。因此,利用现有的曝光技术,开发了小型化的图案修剪材料(PTM)和图案收缩材料(PSM)。PTM应用于在正色调发展(PTD)过程中产生的抗蚀图案,并修剪抗蚀图案。可以通过改变配方和烘烤工艺来控制修整量。结果表明,PTM不仅对L/S模式有效,而且对柱状等二维模式也有效。同时,验证了PTM可以改善线宽粗糙度(LWR)和局部临界尺寸均匀性(LCDU)。另一方面,将PSM应用于负色调成像(NTI)工艺制备的图案上,使其在烘烤后收缩抗蚀剂图案。我们采用了新概念的图案收缩工艺,大大提高了LCDU与孔收缩。本文在ArF浸没条件和EUV条件下,分别演示了PTM对L/S和柱状图的裁剪,以及PSM对C/H的收缩。在未来,PTM和PSM不仅可以应用于ArF图形加工,还可以应用于EUVL。
{"title":"Novel pattern trimming and shrink material (PTM (PTD) and PSM (NTI)) for ArF/EUV extension","authors":"Tokio Nishita, Rikimaru Sakamoto","doi":"10.1117/12.2218826","DOIUrl":"https://doi.org/10.1117/12.2218826","url":null,"abstract":"In recent years, as next-generation lithography, various exposure techniques have been studied such as Extreme Ultraviolet Lithography (EUVL), Directed Self Assembly (DSA) and multiple patterning processes. In particular, EUVL is the most promising candidate for the high volume manufacturing below N7 node. However, there are many problems to be solved such as materials, through put of the exposure tool and mask defect. With respect to the DSA, the fine patterning with block copolymer has been studied. But the DSA process also has the several problems such as the complicated process flow in chemo process, quality of the block copolymer and defect. On the other hand, although the multiple patterning has been applied the device manufacturing for several years, there are some problems such as significant increase in cost due to increasing of the process steps and the overlay accuracy at the multiple process steps. Therefore, Pattern Trimming Materials (PTM) and Pattern Shrink Materials (PSM) were developed for miniaturization using the current exposure technology. The PTM is applied on a resist pattern produced in a Positive Tone Development (PTD) process and trim the resist pattern. It is possible to control the trimming amount by changing the formulation and the baking process. It has been confirmed that the effectiveness of PTM is not only for the L/S pattern, but also for the 2D pattern like pillar pattern. At the same time, it is confirmed that the PTM can improve the Line Width Roughness (LWR) and Local Critical Dimension Uniformity (LCDU). On the other hand, the PSM is applied on the pattern prepared in a Negative Tone Imaging (NTI) process and then it can shrink the resist pattern after baking. We adopted the new concept for pattern shrinkage process which dramatically improved LCDU with the hole shrinkage. In this paper, we demonstrated the L/S and pillar pattern trimming by PTM and the C/H shrink by PSM with ArF immersion (ArF im) condition and EUV condition. In the future, PTM and PSM are expected to be applied in not only ArF im patterning process but also in EUVL.","PeriodicalId":193904,"journal":{"name":"SPIE Advanced Lithography","volume":"9 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2016-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124797998","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
SPIE Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1