首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
Formulae for performance optimization and their applications to interconnect-driven floorplanning 性能优化公式及其在互联驱动地板规划中的应用
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996798
N. Chang, Yao-Wen Chang, I. Jiang
As the process technology advances into the deep submicron era, interconnect plays a dominant role in determining circuit performance. Buffer insertion/sizing and wire sizing are the most effective and popular techniques to reduce interconnect delay and are traditionally applied to post-layout, optimization. As the SIA technology roadmap predicts, however, the number of interconnections among different blocks and that of buffers inserted in a chip for performance optimization will grow dramatically. It is obviously infeasible to insert/size hundreds of thousands buffers or wires during the post-layout stage when most routing regions are occupied. Therefore, it is critical to incorporate buffer-block and., wire-size planning into floorplanning to ensure timing closure and design convergence. In this paper, we first derive continuous buffer insertion/sizing and wire sizing formulae for performance optimization under a more accurate wire model, and then apply the formulate to interconnect-driven floorplanning that considers not only the buffer-block planning but also wire-size planning.
随着工艺技术进入深亚微米时代,互连在决定电路性能方面起着主导作用。缓冲器插入/尺寸调整和导线尺寸调整是减少互连延迟的最有效和最流行的技术,传统上应用于布局后优化。然而,正如SIA技术路线图所预测的那样,不同块之间的互连数量以及为性能优化而插入芯片的缓冲区数量将急剧增长。当大多数布线区域被占用时,在布局后阶段插入/调整数十万个缓冲区或导线显然是不可行的。因此,将缓冲块和。,线材尺寸规划纳入平面规划,确保定时闭合与设计衔接。在本文中,我们首先导出了在更精确的导线模型下进行性能优化的连续缓冲区插入/尺寸和导线尺寸公式,然后将该公式应用于互连驱动的地板规划,该规划不仅考虑了缓冲块规划,而且考虑了导线尺寸规划。
{"title":"Formulae for performance optimization and their applications to interconnect-driven floorplanning","authors":"N. Chang, Yao-Wen Chang, I. Jiang","doi":"10.1109/ISQED.2002.996798","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996798","url":null,"abstract":"As the process technology advances into the deep submicron era, interconnect plays a dominant role in determining circuit performance. Buffer insertion/sizing and wire sizing are the most effective and popular techniques to reduce interconnect delay and are traditionally applied to post-layout, optimization. As the SIA technology roadmap predicts, however, the number of interconnections among different blocks and that of buffers inserted in a chip for performance optimization will grow dramatically. It is obviously infeasible to insert/size hundreds of thousands buffers or wires during the post-layout stage when most routing regions are occupied. Therefore, it is critical to incorporate buffer-block and., wire-size planning into floorplanning to ensure timing closure and design convergence. In this paper, we first derive continuous buffer insertion/sizing and wire sizing formulae for performance optimization under a more accurate wire model, and then apply the formulate to interconnect-driven floorplanning that considers not only the buffer-block planning but also wire-size planning.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89600111","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Inductive characteristics of power distribution grids in high speed integrated circuits 高速集成电路配电网的感应特性
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996764
A. Mezhiba, E. Friedman
The inductive characteristics of several types of gridded power distribution networks are described in this paper. The inductance extraction program FastHenry is used to evaluate the inductive properties of grid structured interconnect. In power distribution grids with alternating power and ground lines, the inductance is shown to vary linearly with grid length and inversely linearly with the number of lines in the grid. The inductance is also relatively constant with frequency in these grid structures. These properties provide accurate and efficient estimates of the inductance of power grid structures with various dimensions.
介绍了几种类型的并网配电网的感应特性。利用电感提取程序FastHenry对网格结构互连的电感特性进行了评估。在有交流电源和地线的配电网中,电感与电网长度成线性关系,与电网中的线路数成反比关系。在这些栅格结构中,电感也随频率相对恒定。这些特性为各种尺寸的电网结构提供了准确有效的电感估计。
{"title":"Inductive characteristics of power distribution grids in high speed integrated circuits","authors":"A. Mezhiba, E. Friedman","doi":"10.1109/ISQED.2002.996764","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996764","url":null,"abstract":"The inductive characteristics of several types of gridded power distribution networks are described in this paper. The inductance extraction program FastHenry is used to evaluate the inductive properties of grid structured interconnect. In power distribution grids with alternating power and ground lines, the inductance is shown to vary linearly with grid length and inversely linearly with the number of lines in the grid. The inductance is also relatively constant with frequency in these grid structures. These properties provide accurate and efficient estimates of the inductance of power grid structures with various dimensions.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88460764","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Transition aware global signaling (TAGS) 感知转换的全局信令(TAGS)
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996695
Himanshu Kaul, D. Sylvester
We propose a new receiver to reduce the number of repeaters used in global wiring. The receiver stores the next state of the line while quiet. Upon detection of a transition at the end of the line the output is temporarily driven by the stored next state. Transitions at the output of the receiver are much faster than at the end of the line since they are generated locally. Using the TAGS receiver we can run a 15 mm line (180 nm node) at 800 MHz with no repeaters. The same line requires three repeaters with a traditional receiver and consumed more power and area. The TAGS receiver also outperforms a standard inverter at the 70 nm technology node. A noise analysis at the two technology nodes shows that the receiver maintains good functional noise immunity.
我们提出了一种新的接收器,以减少在全球布线中使用的中继器的数量。接收器在静默时存储线路的下一个状态。在检测到行尾的转换后,输出暂时由存储的下一个状态驱动。接收器输出端的转换比线路末端的转换快得多,因为它们是在本地生成的。使用TAGS接收器,我们可以在没有中继器的情况下运行800 MHz的15 mm线路(180 nm节点)。同样的线路需要三个中继器和一个传统的接收器,并且消耗更多的功率和面积。在70纳米技术节点上,TAGS接收器的性能也优于标准逆变器。两个技术节点的噪声分析表明,接收机保持了良好的功能性抗噪声能力。
{"title":"Transition aware global signaling (TAGS)","authors":"Himanshu Kaul, D. Sylvester","doi":"10.1109/ISQED.2002.996695","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996695","url":null,"abstract":"We propose a new receiver to reduce the number of repeaters used in global wiring. The receiver stores the next state of the line while quiet. Upon detection of a transition at the end of the line the output is temporarily driven by the stored next state. Transitions at the output of the receiver are much faster than at the end of the line since they are generated locally. Using the TAGS receiver we can run a 15 mm line (180 nm node) at 800 MHz with no repeaters. The same line requires three repeaters with a traditional receiver and consumed more power and area. The TAGS receiver also outperforms a standard inverter at the 70 nm technology node. A noise analysis at the two technology nodes shows that the receiver maintains good functional noise immunity.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83388878","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Impact of low-k on crosstalk [deep sub-micron technologies] 低k对串扰的影响[深亚微米技术]
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996757
G. Servel, D. Deschacht, Françoise Saliou, J. Mattei, F. Huret
With the reduction of distances between wires in deep sub-micron technologies, coupling capacitances are becoming significant. This increase of capacity causes noise capable of propagating a logical fault. A poor evaluation of the crosstalk could be at the root of a malfunction of the circuit. Closed-form formulas are particularly efficient at determining design rules. From an analytical expression for crosstalk evaluation, we explore the performance gain through different intra-layer dielectrics, for a given typical geometry of an upper metal level of a deep sub-micron technology. This model predicts that by using a low-k dielectric equal to two, one can reduce the crosstalk voltage by about 25%, which can be employed on a possible reduction of the space between lines.
随着深亚微米技术中导线间距的减小,耦合电容变得越来越重要。这种容量的增加会产生能够传播逻辑故障的噪声。对串扰的不良评估可能是电路故障的根源。封闭形式的公式在确定设计规则方面特别有效。从串扰评估的解析表达式出发,我们探讨了在深亚微米技术的上金属能级的给定典型几何形状下,通过不同的层内介质获得的性能增益。该模型预测,通过使用等于2的低k介电介质,可以将串扰电压降低约25%,这可以用于可能减少线间距。
{"title":"Impact of low-k on crosstalk [deep sub-micron technologies]","authors":"G. Servel, D. Deschacht, Françoise Saliou, J. Mattei, F. Huret","doi":"10.1109/ISQED.2002.996757","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996757","url":null,"abstract":"With the reduction of distances between wires in deep sub-micron technologies, coupling capacitances are becoming significant. This increase of capacity causes noise capable of propagating a logical fault. A poor evaluation of the crosstalk could be at the root of a malfunction of the circuit. Closed-form formulas are particularly efficient at determining design rules. From an analytical expression for crosstalk evaluation, we explore the performance gain through different intra-layer dielectrics, for a given typical geometry of an upper metal level of a deep sub-micron technology. This model predicts that by using a low-k dielectric equal to two, one can reduce the crosstalk voltage by about 25%, which can be employed on a possible reduction of the space between lines.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89881288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Statistical methods for the determination of process corners 工序转角测定的统计方法
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996713
M. Kocher, G. Rappitsch
Presents a statistical method to determine the variation of the production process of MOS transistors by finding the wafers that have parameter values on the boundary of the distribution. For the selection of the wafers a location depth method is used. Since it would be too time-consuming to determine the SPICE parameters for all the wafers and compute the boundary wafers in the SPICE domain, we use a different approach. We compute the boundary wafers based on production control parameters and then we transform the production control parameter values to SPICE parameter values. With the SPICE parameter values obtained in this way the circuit simulation is performed and since we use the data of the boundary wafers, we cover the variation of the production process within a certain time period. The applied scheme proves to describe the performance variation of analog/mixed-signal designs very accurately with a small number of simulations. For validation purposes circuit simulations and measurements of benchmark circuits are compared. The statistical methods can easily be integrated into a mixed-signal design environment.
提出了一种统计方法,通过寻找分布边界上具有参数值的晶圆,来确定MOS晶体管生产过程的变化。对于晶圆的选择,采用了位置深度法。由于确定所有晶圆的SPICE参数并计算SPICE域中的边界晶圆过于耗时,因此我们使用了不同的方法。根据生产控制参数计算边界晶圆,然后将生产控制参数值转换为SPICE参数值。通过这种方式获得的SPICE参数值进行了电路模拟,由于我们使用了边界晶圆的数据,因此我们涵盖了一定时间段内生产过程的变化。通过少量的仿真,证明了该方案能够非常准确地描述模拟/混合信号设计的性能变化。为了验证的目的,电路仿真和基准电路的测量进行了比较。统计方法可以很容易地集成到混合信号设计环境中。
{"title":"Statistical methods for the determination of process corners","authors":"M. Kocher, G. Rappitsch","doi":"10.1109/ISQED.2002.996713","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996713","url":null,"abstract":"Presents a statistical method to determine the variation of the production process of MOS transistors by finding the wafers that have parameter values on the boundary of the distribution. For the selection of the wafers a location depth method is used. Since it would be too time-consuming to determine the SPICE parameters for all the wafers and compute the boundary wafers in the SPICE domain, we use a different approach. We compute the boundary wafers based on production control parameters and then we transform the production control parameter values to SPICE parameter values. With the SPICE parameter values obtained in this way the circuit simulation is performed and since we use the data of the boundary wafers, we cover the variation of the production process within a certain time period. The applied scheme proves to describe the performance variation of analog/mixed-signal designs very accurately with a small number of simulations. For validation purposes circuit simulations and measurements of benchmark circuits are compared. The statistical methods can easily be integrated into a mixed-signal design environment.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82359691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Timing and design closure in physical design flows 物理设计流程中的定时和设计闭合
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996796
O. Coudert
A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. This paper focuses on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.
物理设计流程包括根据一组约束从门级网表生成具有生产价值的布局。本文着重讨论了收缩工艺技术所带来的问题。它暴露了时序关闭、信号完整性、设计变量依赖性、时钟和电源/接地路由以及设计签名等问题。它还考察了一些物理设计流程,并概述了一个基于改进的流程。
{"title":"Timing and design closure in physical design flows","authors":"O. Coudert","doi":"10.1109/ISQED.2002.996796","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996796","url":null,"abstract":"A physical design flow consists of producing a production-worthy layout from a gate-level netlist subject to a set of constraints. This paper focuses on the problems imposed by shrinking process technologies. It exposes the problems of timing closure, signal integrity, design variable dependencies, clock and power/ground routing, and design signoff. It also surveys some physical design flows, and outlines a refinement-based flow.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76261235","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
Synthesis of selectively clocked skewed logic circuits 选择性时钟偏斜逻辑电路的合成
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996737
Aiqun Cao, N. Sirisantana, Cheng-Kok Koh, K. Roy
Skewed logic circuits with a selective clocking scheme have performance comparable to that of Domino logic, but consume much lower power. Unlike Domino, the reconvergent path problem in skewed logic circuits may be overcome without logic duplication due to the static nature of skewed logic. In this paper, we propose a novel approach that alleviates the need for logic duplication when dealing with reconvergent paths in skewed logic circuits. We also propose a dynamic programming-based heuristic to determine a low-power clocking scheme for skewed logic circuits. Experimental results show that 32% of gates in a skewed logic circuit are duplicated, whereas 69% of gates in a Domino logic circuit are duplicated. The total power saving of skewed logic over Domino logic is 32.6% on average.
具有选择性时钟方案的偏斜逻辑电路具有与Domino逻辑相当的性能,但功耗要低得多。与Domino不同,由于歪斜逻辑的静态特性,可以在没有逻辑复制的情况下克服歪斜逻辑电路中的再收敛路径问题。在本文中,我们提出了一种新的方法,当处理歪斜逻辑电路中的再收敛路径时,减少了对逻辑重复的需要。我们还提出了一种基于动态规划的启发式方法来确定偏斜逻辑电路的低功耗时钟方案。实验结果表明,倾斜逻辑电路中有32%的门是重复的,而Domino逻辑电路中有69%的门是重复的。与Domino逻辑相比,倾斜逻辑的总功耗平均节省32.6%。
{"title":"Synthesis of selectively clocked skewed logic circuits","authors":"Aiqun Cao, N. Sirisantana, Cheng-Kok Koh, K. Roy","doi":"10.1109/ISQED.2002.996737","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996737","url":null,"abstract":"Skewed logic circuits with a selective clocking scheme have performance comparable to that of Domino logic, but consume much lower power. Unlike Domino, the reconvergent path problem in skewed logic circuits may be overcome without logic duplication due to the static nature of skewed logic. In this paper, we propose a novel approach that alleviates the need for logic duplication when dealing with reconvergent paths in skewed logic circuits. We also propose a dynamic programming-based heuristic to determine a low-power clocking scheme for skewed logic circuits. Experimental results show that 32% of gates in a skewed logic circuit are duplicated, whereas 69% of gates in a Domino logic circuit are duplicated. The total power saving of skewed logic over Domino logic is 32.6% on average.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74758947","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Test generation and fault modeling for stress testing 压力测试的测试生成和故障建模
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996704
R. Aitken
Voltage stress testing has long been used as a reliability screen. Significant effort has been devoted in the reliability physics literature to setting of stress voltages. Chang and McCluskey formalized the test aspects of voltage stressing in their works on "SHOVE (SHort VOltage Elevation)" testing. Their work deals with 3.3V and 5V technologies where Fowler-Nordheim tunneling is dominant and suggests a stress energy of about 6MV/cm. In current generation technologies, Fowler-Nordheim tunneling is replaced by standard tunneling currents and operating energies are in the suggested stress range (e.g. 1.2V power supply with a 20/spl Aring/ oxide is 6MV/cm). Modified methods are developed to support this new situation, and a rest generation technique is introduced that enables substantial reduction in the number of stress vectors.
电压应力测试长期以来一直被用作可靠性测试。可靠性物理文献对应力电压的设置进行了大量的研究。Chang和McCluskey在他们关于“push (SHort voltage Elevation)”测试的著作中正式定义了电压应力的测试方面。他们的工作涉及3.3V和5V技术,其中Fowler-Nordheim隧穿占主导地位,并表明应力能约为6MV/cm。在当前的发电技术中,Fowler-Nordheim隧道被标准隧道电流取代,工作能量在建议的应力范围内(例如,1.2V电源与20/spl的电弧/氧化物为6MV/cm)。为了支持这种新情况,开发了改进的方法,并引入了一种休息生成技术,可以大幅减少应力向量的数量。
{"title":"Test generation and fault modeling for stress testing","authors":"R. Aitken","doi":"10.1109/ISQED.2002.996704","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996704","url":null,"abstract":"Voltage stress testing has long been used as a reliability screen. Significant effort has been devoted in the reliability physics literature to setting of stress voltages. Chang and McCluskey formalized the test aspects of voltage stressing in their works on \"SHOVE (SHort VOltage Elevation)\" testing. Their work deals with 3.3V and 5V technologies where Fowler-Nordheim tunneling is dominant and suggests a stress energy of about 6MV/cm. In current generation technologies, Fowler-Nordheim tunneling is replaced by standard tunneling currents and operating energies are in the suggested stress range (e.g. 1.2V power supply with a 20/spl Aring/ oxide is 6MV/cm). Modified methods are developed to support this new situation, and a rest generation technique is introduced that enables substantial reduction in the number of stress vectors.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73885644","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Native mode functional self-test generation for Systems-on-Chip 片上系统的本机模式功能自检生成
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996752
K. Jayaraman, V. Vedula, J. Abraham
With the rapid increase in the functionality of a single chip, the generation of high quality manufacturing tests which can be applied at-speed has become a serious issue. The problem is further compounded with an increasing level of integration in the case of Systems-On-Chip (SOCs), for which existing test generation tools are inadequate. Many of the peripherals in a SOC design may not include testability features, which renders conventional design for testability (DFT) approaches ineffective. Functional tests applied at-speed in the native mode of a microprocessor have been shown to be effective in detecting realistic defects. A novel approach to adopt this strategy to generate test patterns for SOCs is presented in this paper. This approach utilizes the core processor's instruction set to test its own functionality and that of the peripheral components. A SOC based on a model of the Intel 8085 processor is used to show the effectiveness of this approach.
随着单芯片功能的快速增加,能够高速应用的高质量制造测试的生成已成为一个严重的问题。在片上系统(soc)的情况下,随着集成水平的提高,问题进一步复杂化,现有的测试生成工具是不够的。SOC设计中的许多外设可能不包括可测试性功能,这使得传统的可测试性设计(DFT)方法无效。在微处理器的本机模式下进行的功能测试已被证明在检测实际缺陷方面是有效的。本文提出了一种采用该策略生成soc测试模式的新方法。这种方法利用核心处理器的指令集来测试其自身的功能和外围组件的功能。基于Intel 8085处理器模型的SOC被用来显示这种方法的有效性。
{"title":"Native mode functional self-test generation for Systems-on-Chip","authors":"K. Jayaraman, V. Vedula, J. Abraham","doi":"10.1109/ISQED.2002.996752","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996752","url":null,"abstract":"With the rapid increase in the functionality of a single chip, the generation of high quality manufacturing tests which can be applied at-speed has become a serious issue. The problem is further compounded with an increasing level of integration in the case of Systems-On-Chip (SOCs), for which existing test generation tools are inadequate. Many of the peripherals in a SOC design may not include testability features, which renders conventional design for testability (DFT) approaches ineffective. Functional tests applied at-speed in the native mode of a microprocessor have been shown to be effective in detecting realistic defects. A novel approach to adopt this strategy to generate test patterns for SOCs is presented in this paper. This approach utilizes the core processor's instruction set to test its own functionality and that of the peripheral components. A SOC based on a model of the Intel 8085 processor is used to show the effectiveness of this approach.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91532897","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
A thermal-aware superscalar microprocessor 一个热感知的超标量微处理器
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996797
C. Lim, W. R. Daasch, George Z. N. Cai
A thermal-aware technique is proposed to minimize the performance impact when thermal/power control mechanism is triggered. This technique, called thermal-aware microprocessor (TAM), uses on-chip thermal sensors to detect hot-spots within the microprocessor die. There is a secondary pipeline within the core. It is architecturally simple with ultra low power implementation. This secondary pipeline has two main functions: 1. thermal relieve; 2. ultra low power implementation for certain mobile environment (such as any where any time email connect function). When temperature exceeds a given threshold, the core superscalar pipelines are clock-gated while a secondary in-order pipeline is engaged. Since the secondary pipeline consumes much less power, it will have a much lower temperature, and therefore, it will provide a temporary thermal relieve to the core pipelines when the thermal/power mechanism is triggered. This relief reduces energy loss due to leakage, prevents overheating to improve product reliability, and eases cost for thermal solutions. The TAM can also combine with other low power techniques such voltage scaling to achieve ultra low power.
提出了一种热感知技术,以减少触发热/功率控制机制时对性能的影响。这种技术被称为热感知微处理器(TAM),它使用芯片上的热传感器来检测微处理器芯片内的热点。在堆芯内部有一个二级管道。它具有架构简单和超低功耗实现。这个二级管道有两个主要功能:1。热减轻;2. 超低功耗实现特定的移动环境(如任何地点,任何时间的电子邮件连接功能)。当温度超过给定的阈值时,核心标量管道进行时钟选通,同时使用二级有序管道。由于二次管道耗电量少得多,其温度也会低得多,因此,当热/电机制触发时,它将为核心管道提供暂时的热释放。这种缓解减少了由于泄漏造成的能量损失,防止过热以提高产品可靠性,并降低了热解决方案的成本。TAM还可以结合其他低功耗技术,如电压缩放,以实现超低功耗。
{"title":"A thermal-aware superscalar microprocessor","authors":"C. Lim, W. R. Daasch, George Z. N. Cai","doi":"10.1109/ISQED.2002.996797","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996797","url":null,"abstract":"A thermal-aware technique is proposed to minimize the performance impact when thermal/power control mechanism is triggered. This technique, called thermal-aware microprocessor (TAM), uses on-chip thermal sensors to detect hot-spots within the microprocessor die. There is a secondary pipeline within the core. It is architecturally simple with ultra low power implementation. This secondary pipeline has two main functions: 1. thermal relieve; 2. ultra low power implementation for certain mobile environment (such as any where any time email connect function). When temperature exceeds a given threshold, the core superscalar pipelines are clock-gated while a secondary in-order pipeline is engaged. Since the secondary pipeline consumes much less power, it will have a much lower temperature, and therefore, it will provide a temporary thermal relieve to the core pipelines when the thermal/power mechanism is triggered. This relief reduces energy loss due to leakage, prevents overheating to improve product reliability, and eases cost for thermal solutions. The TAM can also combine with other low power techniques such voltage scaling to achieve ultra low power.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86797922","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 71
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1