首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
False-noise analysis using resolution method 用分辨法分析假噪声
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996785
A. Glebov, S. Gavrilov, D. Blaauw, V. Zolotov, R. Panda, C. Oh
High-performance digital circuits are facing increasingly severe noise problems due to cross-coupled noise injection. Traditionally, noise analysis tools use the conservative assumption that all neighbors of a net can switch simultaneously, thereby producing the worst-case noise on a net. However, due to the logic correlations in the circuit, this worst-case noise may not be realizable, resulting in a so-called false noise failure. Since the problem has been shown to be NP-hard in general, exact solutions to this problem are not possible. In this paper, we therefore propose a new heuristic to eliminate false noise failures based on the resolution method. It is shown that multi-variable logic relations can be computed directly from a transistor level description. Based on these generated logic relations, a characteristic ROBDD for a signal net and its neighboring nets is constructed. This ROBDD is then used to determine the set of neighboring nets that result in the maximum realizable noise on the net. The proposed approach was implemented and tested on industrial circuits. The results demonstrate the effectiveness of the approach to eliminate false noise failures.
高性能数字电路由于交叉耦合注入噪声而面临着日益严重的噪声问题。传统上,噪声分析工具使用保守的假设,即网络的所有邻居可以同时切换,从而在网络上产生最坏的噪声。然而,由于电路中的逻辑相关性,这种最坏情况下的噪声可能无法实现,从而导致所谓的假噪声故障。由于这个问题通常被证明是np困难的,所以这个问题的精确解是不可能的。因此,本文提出了一种新的基于分辨方法的启发式算法来消除假噪声故障。结果表明,多变量逻辑关系可以直接从晶体管级描述中计算出来。基于这些生成的逻辑关系,构造了信号网及其相邻网络的特征ROBDD。然后使用该ROBDD来确定导致网络上最大可实现噪声的相邻网络集。该方法在工业电路上得到了实现和测试。结果表明,该方法能够有效地消除假噪声故障。
{"title":"False-noise analysis using resolution method","authors":"A. Glebov, S. Gavrilov, D. Blaauw, V. Zolotov, R. Panda, C. Oh","doi":"10.1109/ISQED.2002.996785","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996785","url":null,"abstract":"High-performance digital circuits are facing increasingly severe noise problems due to cross-coupled noise injection. Traditionally, noise analysis tools use the conservative assumption that all neighbors of a net can switch simultaneously, thereby producing the worst-case noise on a net. However, due to the logic correlations in the circuit, this worst-case noise may not be realizable, resulting in a so-called false noise failure. Since the problem has been shown to be NP-hard in general, exact solutions to this problem are not possible. In this paper, we therefore propose a new heuristic to eliminate false noise failures based on the resolution method. It is shown that multi-variable logic relations can be computed directly from a transistor level description. Based on these generated logic relations, a characteristic ROBDD for a signal net and its neighboring nets is constructed. This ROBDD is then used to determine the set of neighboring nets that result in the maximum realizable noise on the net. The proposed approach was implemented and tested on industrial circuits. The results demonstrate the effectiveness of the approach to eliminate false noise failures.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72635082","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A robust digital delay line architecture in a 0.13 /spl mu/m CMOS technology node for reduced design and process sensitivities 在0.13 /spl mu/m CMOS技术节点上采用稳健的数字延迟线架构,可降低设计和工艺灵敏度
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996719
P. Raha, S. Randall, R. Jennings, B. Helmick, A. Amerasekera, B. Haroun
The combination of high operating frequencies and low-power requirements for DSP cores targeted towards mobile applications makes clock synthesis and phase synchronization for these devices very challenging. These constraints make all-digital solutions (digital PLLs and DLLs) an attractive option (Dunning et al, 1995; Fried, 1996; Minami et al, 2000). This paper describes a digital delay-line architecture that can be used for these applications in a 0.11 /spl mu/m (silicon gate length) CMOS technology. Process variability and sensitivities increase at these geometries and it is difficult to meet target specifications across the entire spread of variations in process, voltages and temperatures (PVT corners). The design methodology presented in this paper minimizes these sensitivities.
针对移动应用的DSP内核的高工作频率和低功耗要求的结合使得这些设备的时钟合成和相位同步非常具有挑战性。这些限制使得全数字解决方案(数字锁相环和dll)成为一个有吸引力的选择(Dunning等人,1995;炸,1996;Minami et al ., 2000)。本文介绍了一种可用于这些应用的0.11 /spl mu/m(硅栅长度)CMOS技术的数字延迟线架构。在这些几何形状上,工艺可变性和灵敏度增加,并且很难在工艺、电压和温度(PVT角)的整个变化范围内满足目标规格。本文提出的设计方法最大限度地减少了这些敏感性。
{"title":"A robust digital delay line architecture in a 0.13 /spl mu/m CMOS technology node for reduced design and process sensitivities","authors":"P. Raha, S. Randall, R. Jennings, B. Helmick, A. Amerasekera, B. Haroun","doi":"10.1109/ISQED.2002.996719","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996719","url":null,"abstract":"The combination of high operating frequencies and low-power requirements for DSP cores targeted towards mobile applications makes clock synthesis and phase synchronization for these devices very challenging. These constraints make all-digital solutions (digital PLLs and DLLs) an attractive option (Dunning et al, 1995; Fried, 1996; Minami et al, 2000). This paper describes a digital delay-line architecture that can be used for these applications in a 0.11 /spl mu/m (silicon gate length) CMOS technology. Process variability and sensitivities increase at these geometries and it is difficult to meet target specifications across the entire spread of variations in process, voltages and temperatures (PVT corners). The design methodology presented in this paper minimizes these sensitivities.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90107289","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
Optimal sequencing energy allocation for CMOS integrated systems CMOS集成系统的最优排序能量分配
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996729
M. Saint-Laurent, V. Oklobdzija, Simon S. Singh, M. Swaminathan
All synchronous CMOS integrated systems have to pay some sequencing overhead. This overhead includes the skew and the jitter of the clock. It also includes the setup time and the clock-to-output delay of the flip-flops. This paper discusses how much energy should be allocated for sequencing in these systems. It is pointed out that providing too little energy is just as bad as providing too much. It is also argued that directly trying to minimize the energy-delay product of the sequencing subsystem is practically not the right thing to do. A model for the relationship between supply voltage, clock frequency, and power dissipation is developed and empirically verified for a SPARC V9 microprocessor. An expression for the optimal energy allocation in a system is derived. Then, based on this optimum, a methodology to design energy-efficient systems is proposed.
所有同步CMOS集成系统都必须支付一定的排序开销。这种开销包括时钟的倾斜和抖动。它还包括设置时间和触发器的时钟到输出延迟。本文讨论了在这些系统中应该分配多少能量用于排序。有人指出,提供太少的能量和提供太多的能量一样糟糕。本文还认为,直接尝试最小化排序子系统的能量延迟积实际上是不正确的事情。针对SPARC V9微处理器,建立了电源电压、时钟频率和功耗之间的关系模型,并进行了经验验证。导出了系统中最优能量分配的表达式。在此基础上,提出了节能系统的设计方法。
{"title":"Optimal sequencing energy allocation for CMOS integrated systems","authors":"M. Saint-Laurent, V. Oklobdzija, Simon S. Singh, M. Swaminathan","doi":"10.1109/ISQED.2002.996729","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996729","url":null,"abstract":"All synchronous CMOS integrated systems have to pay some sequencing overhead. This overhead includes the skew and the jitter of the clock. It also includes the setup time and the clock-to-output delay of the flip-flops. This paper discusses how much energy should be allocated for sequencing in these systems. It is pointed out that providing too little energy is just as bad as providing too much. It is also argued that directly trying to minimize the energy-delay product of the sequencing subsystem is practically not the right thing to do. A model for the relationship between supply voltage, clock frequency, and power dissipation is developed and empirically verified for a SPARC V9 microprocessor. An expression for the optimal energy allocation in a system is derived. Then, based on this optimum, a methodology to design energy-efficient systems is proposed.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83316063","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Low-power and high-speed V VLSI design with low supply voltage through cooperation between levels 低功耗高速V级超大规模集成电路设计,通过级间合作实现低电源电压
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996786
T. Sakurai
In this paper, methods to achieve low-power and high-speed VLSI's are described with the emphasis on cooperation between levels. To suppress the leakage current in a standby mode, Boosted Gate MOS (BGMOS) is effective, which is based on cooperation between technology level and circuit level. To reduce the power in an active mode, V/sub DD/-hopping and V/sub TH/-hopping are promising, which are cooperative approaches between circuit and software. The power consumed in an interconnect system is another issue in low-voltage deep-submicron designs. A cooperative approach between VLSI and assembly to the interconnect power problem is also discussed.
本文介绍了实现低功耗和高速VLSI的方法,重点是级间协作。为了抑制待机状态下的漏电流,boost Gate MOS (BGMOS)是一种有效的抑制漏电流的方法,它是基于技术层面和电路层面的协同工作。为了降低有源模式下的功率,V/sub DD/跳频和V/sub TH/跳频是一种很有前途的电路与软件的合作方式。在低电压深亚微米设计中,互连系统的功耗是另一个问题。讨论了超大规模集成电路与集成电路合作解决互连功率问题的方法。
{"title":"Low-power and high-speed V VLSI design with low supply voltage through cooperation between levels","authors":"T. Sakurai","doi":"10.1109/ISQED.2002.996786","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996786","url":null,"abstract":"In this paper, methods to achieve low-power and high-speed VLSI's are described with the emphasis on cooperation between levels. To suppress the leakage current in a standby mode, Boosted Gate MOS (BGMOS) is effective, which is based on cooperation between technology level and circuit level. To reduce the power in an active mode, V/sub DD/-hopping and V/sub TH/-hopping are promising, which are cooperative approaches between circuit and software. The power consumed in an interconnect system is another issue in low-voltage deep-submicron designs. A cooperative approach between VLSI and assembly to the interconnect power problem is also discussed.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83429529","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Optimization of the power/ground network wire-sizing and spacing based on sequential network simplex algorithm 基于顺序网络单纯形算法的电源/地线线径和间距优化
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996721
Ting-Yuan Wang, C. C. Chen
This paper presents a fast algorithm to optimize both the widths and lengths of power/ground networks under reliability and power dip/ground bounce constraints. The space-sizing which allows the length to change gives more flexibility in solving practical problems. There are two major contributions of this paper. First, we prove that for general topology, a relaxed version of this problem is also convex. Second, we present the sequential network simplex algorithm which can solve those problems with extreme efficiency. Experimental results on several large scale problems, using a PC with a 500-MHZ Pentium III processor, show that our algorithm can solve problems with hundreds of thousands of variables within a few minutes and has a speed improvement of 25+ over sequential linear programming. Experimental results also show that about 50% of the power delivery area can be reduced using our algorithm.
本文提出了一种在可靠性和功率下降/地反弹约束下快速优化电源/地网宽度和长度的算法。允许长度变化的空间大小为解决实际问题提供了更大的灵活性。本文的主要贡献有两点。首先,我们证明了对于一般拓扑,这个问题的松弛版本也是凸的。其次,我们提出了序列网络单纯形算法,该算法能以极高的效率解决这些问题。在配备500 mhz Pentium III处理器的PC上进行的几个大规模问题的实验结果表明,该算法可以在几分钟内解决数十万个变量的问题,速度比顺序线性规划提高了25+。实验结果还表明,该算法可将功率传输面积减少约50%。
{"title":"Optimization of the power/ground network wire-sizing and spacing based on sequential network simplex algorithm","authors":"Ting-Yuan Wang, C. C. Chen","doi":"10.1109/ISQED.2002.996721","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996721","url":null,"abstract":"This paper presents a fast algorithm to optimize both the widths and lengths of power/ground networks under reliability and power dip/ground bounce constraints. The space-sizing which allows the length to change gives more flexibility in solving practical problems. There are two major contributions of this paper. First, we prove that for general topology, a relaxed version of this problem is also convex. Second, we present the sequential network simplex algorithm which can solve those problems with extreme efficiency. Experimental results on several large scale problems, using a PC with a 500-MHZ Pentium III processor, show that our algorithm can solve problems with hundreds of thousands of variables within a few minutes and has a speed improvement of 25+ over sequential linear programming. Experimental results also show that about 50% of the power delivery area can be reduced using our algorithm.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84175917","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 46
Impact analysis of process variability on clock skew 工艺变异性对时钟偏差的影响分析
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996712
E. Malavasi, S. Zanella, Min Cao, J. Uschersohn, M. Misheloff, C. Guardiani
This paper presents a methodology for the statistical analysis of clock tree structures. It allows to accurately predict and analyze the impact of process variation on clock skew. The methodology is divided in three phases. The first phase is a topological analysis used to screen non-critical network configurations, which does not require computationally expensive steps such as parasitic extraction and circuit-level simulation. The second phase is a detailed nominal skew computation based on accurate 3D extraction, performed on a small set of configurations identified as critical by the topological analysis. The third phase is a variational analysis of the impact of process variations and design parameters on the clock skew, that might induce timing margin violations. This methodology has been implemented for scan chain analysis and validated on an industrial strength test case.
本文提出了一种时钟树结构的统计分析方法。它可以准确地预测和分析工艺变化对时钟偏差的影响。该方法分为三个阶段。第一阶段是拓扑分析,用于筛选非关键的网络配置,这不需要计算上昂贵的步骤,如寄生提取和电路级模拟。第二阶段是基于精确的3D提取进行详细的名义倾斜计算,在拓扑分析确定为关键的一小组配置上执行。第三阶段是工艺变化和设计参数对时钟偏差影响的变分分析,这可能导致时间裕度违规。该方法已用于扫描链分析,并在工业强度测试用例上得到验证。
{"title":"Impact analysis of process variability on clock skew","authors":"E. Malavasi, S. Zanella, Min Cao, J. Uschersohn, M. Misheloff, C. Guardiani","doi":"10.1109/ISQED.2002.996712","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996712","url":null,"abstract":"This paper presents a methodology for the statistical analysis of clock tree structures. It allows to accurately predict and analyze the impact of process variation on clock skew. The methodology is divided in three phases. The first phase is a topological analysis used to screen non-critical network configurations, which does not require computationally expensive steps such as parasitic extraction and circuit-level simulation. The second phase is a detailed nominal skew computation based on accurate 3D extraction, performed on a small set of configurations identified as critical by the topological analysis. The third phase is a variational analysis of the impact of process variations and design parameters on the clock skew, that might induce timing margin violations. This methodology has been implemented for scan chain analysis and validated on an industrial strength test case.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89714324","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 34
Design, manufacture and test-quality cost estimation 设计,制造和测试质量成本估算
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996730
J. M. Gilbert, I. Bell, D. R. Johnson
This paper describes the adaptation of the conformability analysis technique to the assessment of functional, manufacturing and test capability of PCB level electronic circuits. It combines process capability indices and failure modes and effects analysis (FMEA) with cost mapping to allow the quality costs associated with design and manufacture induced faults to be estimated and the effectiveness of test strategies in reducing these costs to be determined. It allows the trade-off between these costs and the component, manufacturing process and test costs to be explored. The technique is particularly applicable to the relatively low complexity analogue and mixed signal safety critical circuits typically found in automotive and aircraft electronic systems.
本文介绍了一致性分析技术在PCB级电子电路功能、制造和测试能力评估中的应用。它将过程能力指数、失效模式和影响分析(FMEA)与成本映射相结合,从而可以估计与设计和制造引起的故障相关的质量成本,并确定降低这些成本的测试策略的有效性。它允许在这些成本与组件、制造过程和测试成本之间进行权衡。该技术特别适用于汽车和飞机电子系统中相对低复杂度的模拟和混合信号安全关键电路。
{"title":"Design, manufacture and test-quality cost estimation","authors":"J. M. Gilbert, I. Bell, D. R. Johnson","doi":"10.1109/ISQED.2002.996730","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996730","url":null,"abstract":"This paper describes the adaptation of the conformability analysis technique to the assessment of functional, manufacturing and test capability of PCB level electronic circuits. It combines process capability indices and failure modes and effects analysis (FMEA) with cost mapping to allow the quality costs associated with design and manufacture induced faults to be estimated and the effectiveness of test strategies in reducing these costs to be determined. It allows the trade-off between these costs and the component, manufacturing process and test costs to be explored. The technique is particularly applicable to the relatively low complexity analogue and mixed signal safety critical circuits typically found in automotive and aircraft electronic systems.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87595647","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Interoperability and quality of new EDA tools for sequential logic synthesis 用于顺序逻辑合成的新型EDA工具的互操作性和质量
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996700
A. Slusarczyk, L. Józwiak
One of the main problems in design of modem microelectronic systems is achieving consistent high quality results along the entire EDA tool chain. Using the sequential logic synthesis tools for a case study, this paper shows how important is the consistent tool collaboration for the quality of the final result. In the paper, a new uniform and consistent information-driven logic synthesis approach is proposed and compared to some other logic synthesis flows, including the traditional flow involving JEDI and SIS. The experimental research demonstrates that the quality of the new information-driven logic synthesis tools and the harmony of the new uniform approach results in much better circuits than the circuits from all other flows. The information-based synthesis flow produced circuits that are on average 25% smaller and 30% faster than the circuits from traditional flow.
现代微电子系统设计的主要问题之一是在整个EDA工具链上获得一致的高质量结果。通过使用顺序逻辑综合工具进行案例研究,本文展示了一致的工具协作对于最终结果的质量是多么重要。本文提出了一种新的统一一致的信息驱动逻辑综合方法,并与包括JEDI和SIS在内的传统逻辑综合流程进行了比较。实验研究表明,新的信息驱动逻辑综合工具的质量和新统一方法的和谐性使电路比所有其他流的电路要好得多。基于信息的综合流程产生的电路比传统流程产生的电路平均小25%,快30%。
{"title":"Interoperability and quality of new EDA tools for sequential logic synthesis","authors":"A. Slusarczyk, L. Józwiak","doi":"10.1109/ISQED.2002.996700","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996700","url":null,"abstract":"One of the main problems in design of modem microelectronic systems is achieving consistent high quality results along the entire EDA tool chain. Using the sequential logic synthesis tools for a case study, this paper shows how important is the consistent tool collaboration for the quality of the final result. In the paper, a new uniform and consistent information-driven logic synthesis approach is proposed and compared to some other logic synthesis flows, including the traditional flow involving JEDI and SIS. The experimental research demonstrates that the quality of the new information-driven logic synthesis tools and the harmony of the new uniform approach results in much better circuits than the circuits from all other flows. The information-based synthesis flow produced circuits that are on average 25% smaller and 30% faster than the circuits from traditional flow.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89653374","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A hybrid BIST architecture and its optimization for SoC testing 一种用于SoC测试的混合BIST架构及其优化
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996750
G. Jervan, Zebo Peng, R. Ubar, H. Kruus
This paper presents a hybrid BIST architecture and methods for optimizing it to test system-on-chip in a cost effective way. The proposed self-test architecture can be implemented either only in software or by using some test related hardware. In our approach we combine pseudorandom test patterns with stored deterministic test patterns to perform core test with minimum time and memory, without losing test quality. We propose two algorithms to calculate the cost of the rest process. To speed up the optimization procedure, a Tabu search based method is employed for finding the global cost minimum. Experimental results have demonstrated the feasibility and efficiency of the approach and the significant decreases in overall test cost.
本文提出了一种混合BIST体系结构及其优化方法,以实现片上系统测试的成本效益。所提出的自测体系结构可以仅在软件中实现,也可以使用一些与测试相关的硬件来实现。在我们的方法中,我们将伪随机测试模式与存储的确定性测试模式结合起来,以最小的时间和内存执行核心测试,而不会损失测试质量。我们提出了两种算法来计算剩余过程的成本。为了加快优化过程,采用基于禁忌搜索的方法寻找全局最小值。实验结果证明了该方法的可行性和有效性,并显著降低了总体测试成本。
{"title":"A hybrid BIST architecture and its optimization for SoC testing","authors":"G. Jervan, Zebo Peng, R. Ubar, H. Kruus","doi":"10.1109/ISQED.2002.996750","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996750","url":null,"abstract":"This paper presents a hybrid BIST architecture and methods for optimizing it to test system-on-chip in a cost effective way. The proposed self-test architecture can be implemented either only in software or by using some test related hardware. In our approach we combine pseudorandom test patterns with stored deterministic test patterns to perform core test with minimum time and memory, without losing test quality. We propose two algorithms to calculate the cost of the rest process. To speed up the optimization procedure, a Tabu search based method is employed for finding the global cost minimum. Experimental results have demonstrated the feasibility and efficiency of the approach and the significant decreases in overall test cost.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82387468","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
High performance double-gate device technology challenges and opportunities 高性能双栅器件技术的挑战与机遇
Pub Date : 2002-03-18 DOI: 10.1109/ISQED.2002.996793
M. Leong, H. Wong, E. Nowak, J. Kedzierski, E. E. Jones
The double-gate FET is widely recognized as the prime candidate for the ultimate scaling of FETs to the shortest channel length. From the device integration point of view, the attainment of low extrinsic resistance, carrier transport in the double-gated thin silicon channel and threshold voltage control, remained significant obstacles to high-performance double-gate CMOS structures. We report how these issues were addressed to achieve world-record double-gate device performance. The second gate in a double-gate device can be utilized for low-power and mixed-signal applications. The flexibility of individually controlling the two gates provides opportunities for overall system performance improvement. Ultra-low voltage operation of double-gate CMOS inverters was demonstrated. Finally, we discuss the migration of existing circuit/layout designs to double-gate device technology.
双栅场效应管被广泛认为是将场效应管最终缩放到最短通道长度的首选器件。从器件集成的角度来看,实现低外在电阻、双门控薄硅沟道中的载流子输运和阈值电压控制仍然是高性能双门CMOS结构的重要障碍。我们报告了如何解决这些问题以实现世界纪录的双栅器件性能。双栅极器件中的第二栅极可用于低功耗和混合信号应用。单独控制两个门的灵活性为整体系统性能的改进提供了机会。演示了双栅CMOS逆变器的超低电压工作原理。最后,我们讨论了现有电路/布局设计向双栅器件技术的迁移。
{"title":"High performance double-gate device technology challenges and opportunities","authors":"M. Leong, H. Wong, E. Nowak, J. Kedzierski, E. E. Jones","doi":"10.1109/ISQED.2002.996793","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996793","url":null,"abstract":"The double-gate FET is widely recognized as the prime candidate for the ultimate scaling of FETs to the shortest channel length. From the device integration point of view, the attainment of low extrinsic resistance, carrier transport in the double-gated thin silicon channel and threshold voltage control, remained significant obstacles to high-performance double-gate CMOS structures. We report how these issues were addressed to achieve world-record double-gate device performance. The second gate in a double-gate device can be utilized for low-power and mixed-signal applications. The flexibility of individually controlling the two gates provides opportunities for overall system performance improvement. Ultra-low voltage operation of double-gate CMOS inverters was demonstrated. Finally, we discuss the migration of existing circuit/layout designs to double-gate device technology.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76530859","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 48
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1