首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
Trading off reliability and power-consumption in ultra-low power systems 在超低功耗系统中权衡可靠性和功耗
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996773
A. Maheshwari, W. Burleson, R. Tessier
Critical systems like pace-makers, defibrillators, wearable computers and other electronic gadgets have to be designed not only for reliability but also for ultra-low power consumption due to limited battery life. This paper explores architecture, logic and circuit level approaches to this tradeoff. Fault tolerance techniques at the architecture level can be broadly classified into spatial or temporal redundancy. Using an example of counters (binary and Gray) we show that temporal redundancy is best suited for these ultra-low power and low performance systems as it consumes 30% less power than an area redundant technique. Circuit techniques allow power-reliability tradeoffs of about 50% in each measure. A methodology is developed based on low-level fault simulation using SPICE, which allows detailed circuit models for both power consumption and reliability in current and future CMOS technology.
起搏器、除颤器、可穿戴电脑和其他电子设备等关键系统的设计不仅要考虑可靠性,还要考虑由于电池寿命有限而导致的超低功耗。本文探讨了这种权衡的架构、逻辑和电路级方法。架构级别的容错技术可以大致分为空间冗余和时间冗余。通过一个计数器(二进制和灰色)的例子,我们表明时间冗余最适合这些超低功耗和低性能的系统,因为它比区域冗余技术消耗的功率少30%。电路技术允许在每次测量中进行约50%的功率可靠性折衷。使用SPICE开发了一种基于低级故障模拟的方法,该方法允许对当前和未来CMOS技术的功耗和可靠性进行详细的电路模型。
{"title":"Trading off reliability and power-consumption in ultra-low power systems","authors":"A. Maheshwari, W. Burleson, R. Tessier","doi":"10.1109/ISQED.2002.996773","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996773","url":null,"abstract":"Critical systems like pace-makers, defibrillators, wearable computers and other electronic gadgets have to be designed not only for reliability but also for ultra-low power consumption due to limited battery life. This paper explores architecture, logic and circuit level approaches to this tradeoff. Fault tolerance techniques at the architecture level can be broadly classified into spatial or temporal redundancy. Using an example of counters (binary and Gray) we show that temporal redundancy is best suited for these ultra-low power and low performance systems as it consumes 30% less power than an area redundant technique. Circuit techniques allow power-reliability tradeoffs of about 50% in each measure. A methodology is developed based on low-level fault simulation using SPICE, which allows detailed circuit models for both power consumption and reliability in current and future CMOS technology.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73025762","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Fabrication technologies for three-dimensional integrated circuits 三维集成电路制造技术
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996687
R. Reif, A. Fan, Kuan-Neng Chen, Shamik Das
The MIT approach to 3D VLSI integration is based on low-temperature Cu-Cu wafer bonding. Device wafers are bonded in a face-to-back manner, with short vertical vias and Cu-Cu pads as the inter-wafer throughway. In our scheme, there are several reliability criteria, which include: (a) structural integrity of the Cu-Cu bond; (b) Cu-Cu contact electrical characteristics; and (c) process flow efficiency and repeatability. In addition, CAD tools are needed to aid in design and layout of 3DICs. This paper discusses recent results in all these areas.
麻省理工学院的3D VLSI集成方法是基于低温Cu-Cu晶圆键合。器件晶圆以背对背的方式粘合,用短的垂直通孔和Cu-Cu衬垫作为晶圆间的通道。在我们的方案中,有几个可靠性标准,包括:(a) Cu-Cu键的结构完整性;(b) Cu-Cu接触电特性;(c)工艺流程效率和可重复性。此外,还需要CAD工具来辅助三维数据中心的设计和布局。本文讨论了所有这些领域的最新成果。
{"title":"Fabrication technologies for three-dimensional integrated circuits","authors":"R. Reif, A. Fan, Kuan-Neng Chen, Shamik Das","doi":"10.1109/ISQED.2002.996687","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996687","url":null,"abstract":"The MIT approach to 3D VLSI integration is based on low-temperature Cu-Cu wafer bonding. Device wafers are bonded in a face-to-back manner, with short vertical vias and Cu-Cu pads as the inter-wafer throughway. In our scheme, there are several reliability criteria, which include: (a) structural integrity of the Cu-Cu bond; (b) Cu-Cu contact electrical characteristics; and (c) process flow efficiency and repeatability. In addition, CAD tools are needed to aid in design and layout of 3DICs. This paper discusses recent results in all these areas.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73187479","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 124
Noise injection and propagation in high performance designs 高性能设计中的噪声注入和传播
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996783
V. Zolotov, D. Blaauw, R. Panda, C. Oh
Signal integrity has become a critical issue in the design of high-performance circuits. Noise on a net arises both through propagation of noise from previous stages through the driver gate of the net and through injection of new noise through coupling capacitance with neighboring nets. Typically, propagated noise and injected noise are added linearly to simplify the analysis and increase its efficiency. In this paper, we show that this linear assumption results in a significant underestimation of the noise, due to the nonlinear behavior of the driver gate, and hence can lead to many undetected noise failures in the design. Since complete nonlinear simulation is too slow for large cell-based designs, we propose a new linear model that accurately captures the nonlinear behavior of the driver gate. We propose three iterative methods for computing the model parameters of this linear model. Results are presented to demonstrate the accuracy of the proposed approach on several industrial designs.
信号完整性已成为高性能电路设计中的一个关键问题。网络上的噪声产生于两种方式,一种是通过前一阶段的噪声通过网络的驱动门传播,另一种是通过与邻近网络的耦合电容注入新的噪声。为了简化分析,提高分析效率,通常采用线性加入传播噪声和注入噪声的方法。在本文中,我们表明,由于驱动栅极的非线性行为,这种线性假设导致对噪声的严重低估,因此可能导致设计中许多未检测到的噪声故障。由于完整的非线性模拟对于基于大单元的设计来说太慢,我们提出了一种新的线性模型,可以准确地捕捉驱动栅极的非线性行为。我们提出了三种迭代方法来计算该线性模型的模型参数。结果表明,所提出的方法在几个工业设计的准确性。
{"title":"Noise injection and propagation in high performance designs","authors":"V. Zolotov, D. Blaauw, R. Panda, C. Oh","doi":"10.1109/ISQED.2002.996783","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996783","url":null,"abstract":"Signal integrity has become a critical issue in the design of high-performance circuits. Noise on a net arises both through propagation of noise from previous stages through the driver gate of the net and through injection of new noise through coupling capacitance with neighboring nets. Typically, propagated noise and injected noise are added linearly to simplify the analysis and increase its efficiency. In this paper, we show that this linear assumption results in a significant underestimation of the noise, due to the nonlinear behavior of the driver gate, and hence can lead to many undetected noise failures in the design. Since complete nonlinear simulation is too slow for large cell-based designs, we propose a new linear model that accurately captures the nonlinear behavior of the driver gate. We propose three iterative methods for computing the model parameters of this linear model. Results are presented to demonstrate the accuracy of the proposed approach on several industrial designs.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74041497","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Testing of analogue circuits via (standard) digital gates 通过(标准)数字门测试模拟电路
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996709
D. Venuto, M. Ohletz, B. Riccò
The possibility of using window comparators for on-chip (and potentially on-line) response evaluation of analogue circuits is investigated. No additional analogue test inputs are required and the additional circuitry can be realised either by means of standard digital gates taken from an available library or by full custom designed gates to obtain an observation window tailored to the application. With this approach, the test overhead can be kept extremely low. Due to the low gate capacitance also the load on the observed nodes is very low. Simulation results for some examples show that 100% of all assumed layout-realistic faults could be detected.
利用窗口比较器进行片上(和潜在的在线)模拟电路响应评估的可能性进行了研究。不需要额外的模拟测试输入,并且可以通过从可用库中获取的标准数字门或通过完全定制设计的门来实现额外的电路,以获得针对应用程序量身定制的观察窗口。使用这种方法,测试开销可以保持在极低的水平。由于低栅极电容,观察到的节点上的负载也非常低。一些算例的仿真结果表明,该方法可以100%检测出所有假定的布图真实故障。
{"title":"Testing of analogue circuits via (standard) digital gates","authors":"D. Venuto, M. Ohletz, B. Riccò","doi":"10.1109/ISQED.2002.996709","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996709","url":null,"abstract":"The possibility of using window comparators for on-chip (and potentially on-line) response evaluation of analogue circuits is investigated. No additional analogue test inputs are required and the additional circuitry can be realised either by means of standard digital gates taken from an available library or by full custom designed gates to obtain an observation window tailored to the application. With this approach, the test overhead can be kept extremely low. Due to the low gate capacitance also the load on the observed nodes is very low. Simulation results for some examples show that 100% of all assumed layout-realistic faults could be detected.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74767258","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
Time-domain simulation of variational interconnect models 变分互连模型的时域仿真
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996782
E. Acar, S. Nassif, Y. Liu, L. Pileggi
Interconnect parameter variations are more significant in the nanometer regime due to the increase in relative tolerances for upcoming integration technologies. As several variability studies indicate the significant role of the interconnect on system performance, the analysis of linear models is extremely crucial. Contrary to devices, the extreme case scenarios do not apply for context-dependent interconnect, necessitating a statistical analysis framework. A previously proposed approach to represent interconnect models in terms of global interconnect parameters is necessary in such frameworks. In this paper we present efficient ways of simulating these variational interconnect models in the presence of nonlinear devices. We demonstrate our methodology by incorporating variational interconnect models into transistor-level simulation with accurate nonlinear device models.
由于即将到来的集成技术的相对容差的增加,互连参数的变化在纳米范围内更为显著。由于一些变异性研究表明互连对系统性能的重要作用,线性模型的分析是极其重要的。与设备相反,极端情况并不适用于上下文相关的互连,因此需要统计分析框架。在这样的框架中,以前提出的根据全局互连参数表示互连模型的方法是必要的。在本文中,我们提出了在非线性器件存在的情况下模拟这些变分互连模型的有效方法。我们通过将变分互连模型与精确的非线性器件模型结合到晶体管级仿真中来演示我们的方法。
{"title":"Time-domain simulation of variational interconnect models","authors":"E. Acar, S. Nassif, Y. Liu, L. Pileggi","doi":"10.1109/ISQED.2002.996782","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996782","url":null,"abstract":"Interconnect parameter variations are more significant in the nanometer regime due to the increase in relative tolerances for upcoming integration technologies. As several variability studies indicate the significant role of the interconnect on system performance, the analysis of linear models is extremely crucial. Contrary to devices, the extreme case scenarios do not apply for context-dependent interconnect, necessitating a statistical analysis framework. A previously proposed approach to represent interconnect models in terms of global interconnect parameters is necessary in such frameworks. In this paper we present efficient ways of simulating these variational interconnect models in the presence of nonlinear devices. We demonstrate our methodology by incorporating variational interconnect models into transistor-level simulation with accurate nonlinear device models.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81686169","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Device physics impact on low leakage, high speed DSP design techniques 器件物理对低漏、高速DSP设计技术的影响
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996771
D. Scott, Shaoping Tang, Song Zhao, M. Nandakumar
The limitations of implementing low leakage schemes and their application to current state of the art components is discussed In addition to source subthreshold leakage, both gate induced diode leakage current and tunneling gate leakage current must be comprehended A viable leakage reduction strategy requires extensive modeling of circuits in the standby mode as well as new demands on the understanding of transistor physics. The ramifications of the physics of the behavior of transistors under conditions of high electric fields apply not only at the circuit level but can also impact the chip level system. In the coming applications of mobile electronics, understanding of this concept is critical.
除了源亚阈值泄漏外,还必须了解栅极感应二极管泄漏电流和隧道栅极泄漏电流。一个可行的泄漏减少策略需要在待机模式下对电路进行广泛的建模,以及对晶体管物理理解的新要求。高电场条件下晶体管行为的物理分支不仅适用于电路级,而且可以影响芯片级系统。在未来的移动电子应用中,理解这个概念是至关重要的。
{"title":"Device physics impact on low leakage, high speed DSP design techniques","authors":"D. Scott, Shaoping Tang, Song Zhao, M. Nandakumar","doi":"10.1109/ISQED.2002.996771","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996771","url":null,"abstract":"The limitations of implementing low leakage schemes and their application to current state of the art components is discussed In addition to source subthreshold leakage, both gate induced diode leakage current and tunneling gate leakage current must be comprehended A viable leakage reduction strategy requires extensive modeling of circuits in the standby mode as well as new demands on the understanding of transistor physics. The ramifications of the physics of the behavior of transistors under conditions of high electric fields apply not only at the circuit level but can also impact the chip level system. In the coming applications of mobile electronics, understanding of this concept is critical.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85685273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
In search of the origin of VHDL's delta delays 在寻找VHDL的δ延迟的起源
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996762
Sumit Ghosh
This paper has traced the VHDL architects' journey into the world of delta delay including the original need for zero delay usage that evolved from a misconception that zero delays enhance simulation throughput without any penalty, the subsequent difficulties with the VHDL implementation of zero delay, the adapting of Conlan's BCL model of time into VHDL as delta delay without a clear understanding of the consequences, and the problems that confront VHDL today. This paper has presented a simple solution to the problem that involves the elimination of zero delay usage and the specification of actual component delay values in terms of universal time.
本文追溯了VHDL架构师进入增量延迟世界的历程,包括最初对零延迟使用的需求,这种需求源于零延迟在没有任何损失的情况下提高仿真吞吐量的误解,VHDL实现零延迟的后续困难,在没有清楚理解后果的情况下将Conlan的BCL时间模型作为增量延迟应用到VHDL中,以及VHDL今天面临的问题。本文提出了一种简单的解决方案,即消除零延迟的使用,并根据通用时间规定实际组件的延迟值。
{"title":"In search of the origin of VHDL's delta delays","authors":"Sumit Ghosh","doi":"10.1109/ISQED.2002.996762","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996762","url":null,"abstract":"This paper has traced the VHDL architects' journey into the world of delta delay including the original need for zero delay usage that evolved from a misconception that zero delays enhance simulation throughput without any penalty, the subsequent difficulties with the VHDL implementation of zero delay, the adapting of Conlan's BCL model of time into VHDL as delta delay without a clear understanding of the consequences, and the problems that confront VHDL today. This paper has presented a simple solution to the problem that involves the elimination of zero delay usage and the specification of actual component delay values in terms of universal time.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88067679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
On the use of windows for accurate analysis of package interconnects 利用窗口对封装互连进行精确分析
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996726
W. Beyene, Xingchao Yuan
An accurate transient analysis of a package interconnect requires the modeling and analysis of conductor and dielectric losses, as well as other high-frequency effects of 3D structures. The skin effect and dispersion of interconnects are more accurately modeled in frequency domain. Consequently, the complete time-domain simulation of such a system is only possible using convolution techniques. Although the convolution method is well understood, the application of windowing for interconnect analysis is less so. In this paper, we present the practical considerations of window selection and its application to improve the accuracy of convolution simulators. We introduce the Tukey window and study the tradeoff between how smoothly a datum can be set to zero to avoid aliasing and suppress ripples and how much information tapering will discount at the edge of the window in order to obtain meaningful results. The bandlimiting effects of the Tukey window and other well-known windows are also compared. Finally, wirebond PBGA package and PCB-connector system are analyzed using the scattering parameters obtained from simulation and measurement, respectively, to verify the validity and accuracy of the method.
要对封装互连进行精确的瞬态分析,需要对导体和介电损耗以及3D结构的其他高频效应进行建模和分析。在频域更精确地模拟了互连的趋肤效应和色散。因此,这样一个系统的完整的时域模拟只能使用卷积技术。虽然卷积方法被很好地理解,但窗在互连分析中的应用却很少。在本文中,我们提出了窗口选择的实际考虑因素及其在提高卷积模拟器精度方面的应用。我们引入了Tukey窗口,并研究了如何平滑地将基准设置为零以避免混叠和抑制波纹,以及为了获得有意义的结果,在窗口边缘将折让多少信息。并比较了Tukey窗口和其他常用窗口的限带效果。最后,分别利用仿真和测量得到的散射参数对线键PBGA封装和pcb -连接器系统进行了分析,验证了该方法的有效性和准确性。
{"title":"On the use of windows for accurate analysis of package interconnects","authors":"W. Beyene, Xingchao Yuan","doi":"10.1109/ISQED.2002.996726","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996726","url":null,"abstract":"An accurate transient analysis of a package interconnect requires the modeling and analysis of conductor and dielectric losses, as well as other high-frequency effects of 3D structures. The skin effect and dispersion of interconnects are more accurately modeled in frequency domain. Consequently, the complete time-domain simulation of such a system is only possible using convolution techniques. Although the convolution method is well understood, the application of windowing for interconnect analysis is less so. In this paper, we present the practical considerations of window selection and its application to improve the accuracy of convolution simulators. We introduce the Tukey window and study the tradeoff between how smoothly a datum can be set to zero to avoid aliasing and suppress ripples and how much information tapering will discount at the edge of the window in order to obtain meaningful results. The bandlimiting effects of the Tukey window and other well-known windows are also compared. Finally, wirebond PBGA package and PCB-connector system are analyzed using the scattering parameters obtained from simulation and measurement, respectively, to verify the validity and accuracy of the method.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87722890","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Asynchronous circuits: an increasingly practical design solution 异步电路:一个越来越实用的设计方案
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996774
P. Beerel
While ultra-deep-submicron design presents increasingly difficult challenges for standard synchronous design practices, recent research in asynchronous design techniques is making asynchronous circuits an increasingly practical alternative. These challenges include the increasing pressure for low-power, the growing challenge of predicting increasing impact of wire load and delay, and the performance penalty associated with supporting communication between different clock domains. This paper reviews the different solutions to these problems that the spectrum of existing asynchronous design techniques support. It focuses on techniques for fine-grain two-dimensional pipelining that yield ultra-high-speed at nominal power supplies and very low-energy at reduced power supplies.
虽然超深亚微米设计对标准的同步设计实践提出了越来越困难的挑战,但最近对异步设计技术的研究使异步电路成为越来越实用的选择。这些挑战包括越来越大的低功耗压力,预测线负载和延迟影响的挑战,以及支持不同时钟域之间通信所带来的性能损失。本文回顾了现有异步设计技术所支持的解决这些问题的不同方法。它侧重于细颗粒二维流水线技术,该技术在标称电源下产生超高速,在降低电源时产生非常低的能量。
{"title":"Asynchronous circuits: an increasingly practical design solution","authors":"P. Beerel","doi":"10.1109/ISQED.2002.996774","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996774","url":null,"abstract":"While ultra-deep-submicron design presents increasingly difficult challenges for standard synchronous design practices, recent research in asynchronous design techniques is making asynchronous circuits an increasingly practical alternative. These challenges include the increasing pressure for low-power, the growing challenge of predicting increasing impact of wire load and delay, and the performance penalty associated with supporting communication between different clock domains. This paper reviews the different solutions to these problems that the spectrum of existing asynchronous design techniques support. It focuses on techniques for fine-grain two-dimensional pipelining that yield ultra-high-speed at nominal power supplies and very low-energy at reduced power supplies.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84329649","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 40
Single-electronics - how it works. How it's used. How it's simulated 单电子-它是如何工作的。它是如何使用的。如何模拟
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996795
C. Wasshuber
How it works: a short and simple introduction to the underlying physics of single electronics is given. Relevant energies, charging, tunneling and Coulomb blockade are explained with simple concepts and analogies. How it is used: an overview of possible applications is given. A focus is placed on the most promising ones such as memories and applications in metrology. The author also mentions open challenges such as random background charge fluctuations and manufacturing methods. How it is simulated: three simulation methods, Monte Carlo, Master Equation and Spice macro-models, are introduced and compared. The author touches on appropriate random number generators, variance reducing methods and make comparison to measurements.
它是如何工作的:一个简短的介绍,简单的基础物理的单个电子给出。用简单的概念和类比解释了相关的能量、电荷、隧道和库仑封锁。如何使用:给出了可能应用的概述。重点放在最有前途的领域,如存储器和计量应用。作者还提到了诸如随机背景电荷波动和制造方法等开放挑战。仿真方法:介绍了蒙特卡罗、主方程和Spice宏观模型三种仿真方法,并进行了比较。作者讨论了适当的随机数产生和方差减小方法,并与测量结果进行了比较。
{"title":"Single-electronics - how it works. How it's used. How it's simulated","authors":"C. Wasshuber","doi":"10.1109/ISQED.2002.996795","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996795","url":null,"abstract":"How it works: a short and simple introduction to the underlying physics of single electronics is given. Relevant energies, charging, tunneling and Coulomb blockade are explained with simple concepts and analogies. How it is used: an overview of possible applications is given. A focus is placed on the most promising ones such as memories and applications in metrology. The author also mentions open challenges such as random background charge fluctuations and manufacturing methods. How it is simulated: three simulation methods, Monte Carlo, Master Equation and Spice macro-models, are introduced and compared. The author touches on appropriate random number generators, variance reducing methods and make comparison to measurements.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83371131","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1