首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
Human immune system inspired architecture for self-healing digital systems 人类免疫系统启发了自我修复数字系统的架构
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996755
P. Lala, B. K. Kumar
This paper proposes an architecture for implementing self-healing digital systems. The adopted self-healing mechanism is inspired by the human immune system. A digital system based on the proposed architecture is composed of a number of functional cells that are interconnected to perform a desired function. As in the immune system, the error detection in the cell based digital system is done without using any centralized fault detection mechanism. Once a faulty cell is identified a spare cell replaces it; the spare cells are distributed throughout the system.
本文提出了一种实现自修复数字系统的体系结构。所采用的自我修复机制是受到人体免疫系统的启发。基于所提出的体系结构的数字系统由许多相互连接以执行所需功能的功能单元组成。与免疫系统一样,基于细胞的数字系统的错误检测不使用任何集中的故障检测机制。一旦发现有故障的电池,就用备用电池替换它;备用电池分布在整个系统中。
{"title":"Human immune system inspired architecture for self-healing digital systems","authors":"P. Lala, B. K. Kumar","doi":"10.1109/ISQED.2002.996755","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996755","url":null,"abstract":"This paper proposes an architecture for implementing self-healing digital systems. The adopted self-healing mechanism is inspired by the human immune system. A digital system based on the proposed architecture is composed of a number of functional cells that are interconnected to perform a desired function. As in the immune system, the error detection in the cell based digital system is done without using any centralized fault detection mechanism. Once a faulty cell is identified a spare cell replaces it; the spare cells are distributed throughout the system.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82797189","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Inductance aware interconnect scaling 电感感知互连缩放
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996689
K. Banerjee, A. Mehrotra
This paper introduces a new global-tier interconnect scaling scheme which ensures that inductance effects do not start dominating the overall interconnect performance. It is shown that for unscaled global lines, inductance effects increase as technology scales while for the scaling scheme proposed by ITRS (1999), interconnects become extremely resistive and, while inductance effects diminish with scaling but the performance, specifically, delay per unit length, degrades with scaling. The effect of the proposed global interconnect scaling scheme on optimized driver size, interconnect length, delay per unit length and total buffer area is quantified and compared with the unscaled and the ITRS cases. It is shown that the proposed scaling scheme improves the delay per unit length without degrading inductive effects or increasing buffer area with scaling.
本文介绍了一种新的全局层互连缩放方案,以确保电感效应不会开始主导整体互连性能。研究表明,对于未缩放的全局线路,电感效应随着技术的扩展而增加,而对于ITRS(1999)提出的缩放方案,互连变得非常电阻,虽然电感效应随着缩放而减小,但性能,特别是每单位长度的延迟,会随着缩放而下降。量化了所提出的全局互连缩放方案对优化驱动器尺寸、互连长度、单位长度延迟和总缓冲区面积的影响,并与未缩放和ITRS情况进行了比较。结果表明,该缩放方案在不降低感应效应和增加缓冲面积的前提下,提高了单位长度时延。
{"title":"Inductance aware interconnect scaling","authors":"K. Banerjee, A. Mehrotra","doi":"10.1109/ISQED.2002.996689","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996689","url":null,"abstract":"This paper introduces a new global-tier interconnect scaling scheme which ensures that inductance effects do not start dominating the overall interconnect performance. It is shown that for unscaled global lines, inductance effects increase as technology scales while for the scaling scheme proposed by ITRS (1999), interconnects become extremely resistive and, while inductance effects diminish with scaling but the performance, specifically, delay per unit length, degrades with scaling. The effect of the proposed global interconnect scaling scheme on optimized driver size, interconnect length, delay per unit length and total buffer area is quantified and compared with the unscaled and the ITRS cases. It is shown that the proposed scaling scheme improves the delay per unit length without degrading inductive effects or increasing buffer area with scaling.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82451100","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Hierarchical front-end physical design solution drives modified hand-off 分层前端物理设计解决方案驱动改进的移交
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996799
W. Dai, M. Courtoy
A design methodology for the implementation of multimillion gate system-on-chip designs is described The new methodology is based on the creation of a physical prototype early in the back-end design process. The prototype is generated in a fraction of the time required to complete the traditional back-end flow but still maintains very high correlation with the final design. The physical prototype becomes the 'hub' where many design implementation decisions can be optimized by leveraging the short iteration times. Hierarchical design methodologies benefit from the prototyping stage by enabling a more optimal partitioning. The physical prototype also alters the nature of the hand-off model between front-end and back-end designers. The netlist can now be quickly validated using the prototype: the physical reality is being injected early in the design process resulting in fewer iterations between front-end and back-end.
描述了一种用于实现百万门系统芯片设计的设计方法。这种新方法是基于在后端设计过程的早期创建物理原型。原型的生成只需要完成传统后端流程所需的一小部分时间,但仍然与最终设计保持非常高的相关性。物理原型成为“枢纽”,许多设计实现决策可以通过利用较短的迭代时间来优化。分层设计方法通过实现更优的划分而受益于原型阶段。物理原型还改变了前端和后端设计人员之间的交接模型的性质。现在可以使用原型快速验证网表:在设计过程的早期注入物理现实,从而减少前端和后端之间的迭代。
{"title":"Hierarchical front-end physical design solution drives modified hand-off","authors":"W. Dai, M. Courtoy","doi":"10.1109/ISQED.2002.996799","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996799","url":null,"abstract":"A design methodology for the implementation of multimillion gate system-on-chip designs is described The new methodology is based on the creation of a physical prototype early in the back-end design process. The prototype is generated in a fraction of the time required to complete the traditional back-end flow but still maintains very high correlation with the final design. The physical prototype becomes the 'hub' where many design implementation decisions can be optimized by leveraging the short iteration times. Hierarchical design methodologies benefit from the prototyping stage by enabling a more optimal partitioning. The physical prototype also alters the nature of the hand-off model between front-end and back-end designers. The netlist can now be quickly validated using the prototype: the physical reality is being injected early in the design process resulting in fewer iterations between front-end and back-end.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80545490","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Simultaneous switching noise and resonance analysis of on-chip power distribution network 片上配电网同步开关噪声与谐振分析
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996723
G. Bai, I. Hajj
This paper presents a frequency-domain technique for finding the worst-case time-domain voltage variations in the RLC power bus of digital VLSI circuits. Pattern independent maximum envelope currents are used for the logic gates and macroblocks. The voltage drop/surge at a power bus node is expressed in term of the currents using sensitivity analysis. The sensitivity information together with an optimization procedure are applied to find the upper-bounds on the voltage variations at the targeted bus nodes. The resonance problem due to the on-chip RLC power distribution network is analyzed base on the frequency-domain sensitivity analysis. Comparisons to SPICE simulation of circuits extracted from layouts are used to validate our approach.
本文提出了一种求数字VLSI电路中RLC电源总线最坏情况时域电压变化的频域技术。模式无关的最大包络电流用于逻辑门和宏块。利用灵敏度分析,将电源母线节点的电压降/浪涌表示为电流。利用灵敏度信息和优化程序求出目标母线节点电压变化的上界。基于频域灵敏度分析,分析了片上RLC配电网的谐振问题。将从布局中提取的电路与SPICE仿真进行比较,以验证我们的方法。
{"title":"Simultaneous switching noise and resonance analysis of on-chip power distribution network","authors":"G. Bai, I. Hajj","doi":"10.1109/ISQED.2002.996723","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996723","url":null,"abstract":"This paper presents a frequency-domain technique for finding the worst-case time-domain voltage variations in the RLC power bus of digital VLSI circuits. Pattern independent maximum envelope currents are used for the logic gates and macroblocks. The voltage drop/surge at a power bus node is expressed in term of the currents using sensitivity analysis. The sensitivity information together with an optimization procedure are applied to find the upper-bounds on the voltage variations at the targeted bus nodes. The resonance problem due to the on-chip RLC power distribution network is analyzed base on the frequency-domain sensitivity analysis. Comparisons to SPICE simulation of circuits extracted from layouts are used to validate our approach.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82166742","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
An efficient seeds selection method for LFSR-based test-per-clock BIST 基于lfsr的单时钟测试的种子选择方法
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996747
E. Kalligeros, X. Kavousianos, D. Bakalis, D. Nikolos
Built-in self-test (BIST) is an effective approach for testing large and complex circuits. When BIST is used, a test pattern generator (TPG), a test response verifier and a BIST controller accompany the circuit under test (CUT) in the chip, creating a self-testable circuit. In this paper we propose a new algorithm for seeds selection in LFSR (linear feedback shift register) based test-per-clock BIST. The proposed algorithm uses the well-known concept of solving systems of linear equations and, based on heuristics, minimizes the number of seeds and test vectors while achieving 100% fault coverage. Experimental results indicate that it compares favorably to the other known techniques.
内置自检是测试大型复杂电路的有效方法。当使用BIST时,一个测试模式发生器(TPG)、一个测试响应验证器和一个BIST控制器伴随着芯片中的被测电路(CUT),形成一个自测试电路。本文提出了一种基于LFSR(线性反馈移位寄存器)的种子选择算法。该算法使用众所周知的求解线性方程组的概念,并基于启发式算法,在实现100%故障覆盖率的同时最小化种子和测试向量的数量。实验结果表明,该方法优于其他已知的方法。
{"title":"An efficient seeds selection method for LFSR-based test-per-clock BIST","authors":"E. Kalligeros, X. Kavousianos, D. Bakalis, D. Nikolos","doi":"10.1109/ISQED.2002.996747","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996747","url":null,"abstract":"Built-in self-test (BIST) is an effective approach for testing large and complex circuits. When BIST is used, a test pattern generator (TPG), a test response verifier and a BIST controller accompany the circuit under test (CUT) in the chip, creating a self-testable circuit. In this paper we propose a new algorithm for seeds selection in LFSR (linear feedback shift register) based test-per-clock BIST. The proposed algorithm uses the well-known concept of solving systems of linear equations and, based on heuristics, minimizes the number of seeds and test vectors while achieving 100% fault coverage. Experimental results indicate that it compares favorably to the other known techniques.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89577658","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
A hybrid PPC method based on the empirical etch model for the 0.14/spl mu/m DRAM generation and beyond 一种基于经验蚀刻模型的混合PPC方法,用于0.14/spl mu/m DRAM及以上的生产
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996717
Chul-Hong Park, S. Choi, Sang-Uhk Rhie, Dong-Hyun Kim, Jun-Seong Park, Tae-Hwang Jang, Ji-Soong Park, Yoo-Hyon Kim, Moon-Hyun Yoo, J. Kong
The hybrid PPC (process proximity correction) has been one of the inevitable methods for the sub-wavelength lithography to satisfy the requirements of CD control and yield improvement. In this paper, an effective methodology for hybrid PPC is presented to reduce the data volume and the complexity of patterns and to enhance the accuracy of correction. The selective engine in the flow of hybrid PPC classifies the gate patterns into the areas of model-based and rule-based PPC considering a device performance, a model accuracy, and the extension of the contact overlap margin. Furthermore, the effective method of an empirical model is exploited to compensate the nonlinear etch proximity effect. The statistical method based on the real pattern geometry is also constructed to reflect the process issues in real manufacturing. From this work with the 1 nm correction grid, 22% of the additional reduction in the intra-die CD variation compared with the rule-based PPC has been achieved.
混合PPC(过程接近校正)已成为亚波长光刻工艺满足CD控制和良率提高要求的必然方法之一。本文提出了一种有效的混合PPC方法,以减少数据量和模式复杂性,提高校正精度。混合PPC流程中的选择引擎考虑器件性能、模型精度和接触重叠余量的扩展,将栅极模式分为基于模型和基于规则的PPC。此外,利用经验模型的有效方法来补偿非线性腐蚀邻近效应。构建了基于真实模式几何的统计方法来反映真实制造中的过程问题。与基于规则的PPC相比,通过使用1 nm校正网格,实现了芯片内CD变化的22%的额外减少。
{"title":"A hybrid PPC method based on the empirical etch model for the 0.14/spl mu/m DRAM generation and beyond","authors":"Chul-Hong Park, S. Choi, Sang-Uhk Rhie, Dong-Hyun Kim, Jun-Seong Park, Tae-Hwang Jang, Ji-Soong Park, Yoo-Hyon Kim, Moon-Hyun Yoo, J. Kong","doi":"10.1109/ISQED.2002.996717","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996717","url":null,"abstract":"The hybrid PPC (process proximity correction) has been one of the inevitable methods for the sub-wavelength lithography to satisfy the requirements of CD control and yield improvement. In this paper, an effective methodology for hybrid PPC is presented to reduce the data volume and the complexity of patterns and to enhance the accuracy of correction. The selective engine in the flow of hybrid PPC classifies the gate patterns into the areas of model-based and rule-based PPC considering a device performance, a model accuracy, and the extension of the contact overlap margin. Furthermore, the effective method of an empirical model is exploited to compensate the nonlinear etch proximity effect. The statistical method based on the real pattern geometry is also constructed to reflect the process issues in real manufacturing. From this work with the 1 nm correction grid, 22% of the additional reduction in the intra-die CD variation compared with the rule-based PPC has been achieved.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79273683","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Pre-route noise estimation in deep submicron integrated circuits 深亚微米集成电路的预路由噪声估计
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996781
M. Becer, R. Panda, D. Blaauw, I. Hajj
One of the critical challenges in today's high performance IC design is to take noise into account as early as possible in the design cycle. Current noise analysis tools are effective at analyzing and identifying noise in the post-route design stage when detailed parasitic information is available. However, noise problems identified at this stage of design cycle are very difficult to fix due to the limited flexibility in the design and may, cause additional iterations of routing and placement, adding costly delays to time-to-market. In this paper we introduce an estimated, congestion-based pre-route noise analysis approach to identify post-route noise failures before the actual detailed route is completed. We introduce new methods to estimate the RC characteristics of victim and aggressor lines, their coupling capacitances and the aggressor transition times before routing is performed. The approach is based on congestion information obtained from a global router. Since the exact location and relative position of wires in the design is not yet available at this point, we propose a novel probabilistic method for capacitance extraction. We present results on two high performance microprocessors in 0.18 /spl mu/ technology that demonstrate the effectiveness of the proposed approach.
当今高性能集成电路设计的关键挑战之一是在设计周期中尽早考虑噪声。当详细的寄生信息可用时,当前的噪声分析工具可以有效地分析和识别路由后设计阶段的噪声。然而,由于设计的灵活性有限,在设计周期的这一阶段确定的噪声问题很难解决,并且可能会导致额外的路由和放置迭代,从而增加昂贵的上市时间延迟。在本文中,我们引入了一种估计的、基于拥塞的路由前噪声分析方法,用于在实际详细路由完成之前识别路由后噪声故障。我们介绍了新的方法来估计受害者和攻击者线的RC特性,它们的耦合电容和攻击者转换时间在路由执行之前。该方法基于从全局路由器获得的拥塞信息。由于电线在设计中的确切位置和相对位置在这一点上尚不可用,我们提出了一种新的概率方法来提取电容。我们在两个0.18 /spl mu/技术的高性能微处理器上展示了所提出方法的有效性。
{"title":"Pre-route noise estimation in deep submicron integrated circuits","authors":"M. Becer, R. Panda, D. Blaauw, I. Hajj","doi":"10.1109/ISQED.2002.996781","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996781","url":null,"abstract":"One of the critical challenges in today's high performance IC design is to take noise into account as early as possible in the design cycle. Current noise analysis tools are effective at analyzing and identifying noise in the post-route design stage when detailed parasitic information is available. However, noise problems identified at this stage of design cycle are very difficult to fix due to the limited flexibility in the design and may, cause additional iterations of routing and placement, adding costly delays to time-to-market. In this paper we introduce an estimated, congestion-based pre-route noise analysis approach to identify post-route noise failures before the actual detailed route is completed. We introduce new methods to estimate the RC characteristics of victim and aggressor lines, their coupling capacitances and the aggressor transition times before routing is performed. The approach is based on congestion information obtained from a global router. Since the exact location and relative position of wires in the design is not yet available at this point, we propose a novel probabilistic method for capacitance extraction. We present results on two high performance microprocessors in 0.18 /spl mu/ technology that demonstrate the effectiveness of the proposed approach.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78056371","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Tomorrows high-quality SoCs require high-quality embedded memories today 明天的高质量soc需要今天的高质量嵌入式存储器
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996735
Ulf Schlichtmann
Summary form only given. Embedded memories increasingly dominate SoC designs - whether chip area, performance, power consumption, manufacturing yield or design time are considered. ITRS data indicate that the embedded memory contents of ICs may increase from 20% in 1999 to 90% at the 50 nm node by the end of the decade. Therefore, even more than at present, the success of future SoC design will depend on the availability of high-quality embedded memories. Advanced process technologies pose new challenges for meeting these quality criteria. Some of the challenges are: providing flexible redundancy solutions for embedded SRAMs; designing competitive memories despite ever increasing leakage currents; reducing SRAM susceptibility to soft-error rate (SER). These challenges are bringing about the need for significant innovations in design of embedded memories, much more so than in recent previous process generations. In the presentation, the challenges are outlined and solutions are proposed. The focus of the discussion is on SRAM/ROM, but other technologies such as eDRAM and "1T SRAM" are also addressed.
只提供摘要形式。嵌入式存储器越来越主导SoC设计-无论是芯片面积,性能,功耗,制造良率还是设计时间都被考虑在内。ITRS数据表明,到本世纪末,集成电路在50纳米节点上的嵌入式存储器容量可能会从1999年的20%增加到90%。因此,未来SoC设计的成功将比目前更依赖于高质量嵌入式存储器的可用性。先进的工艺技术为满足这些质量标准提出了新的挑战。其中一些挑战是:为嵌入式ram提供灵活的冗余解决方案;在泄漏电流不断增加的情况下设计具有竞争力的存储器;降低SRAM对软错误率(SER)的敏感性。这些挑战带来了对嵌入式存储器设计的重大创新的需求,比最近的前几代工艺要多得多。在报告中,概述了挑战并提出了解决方案。讨论的重点是SRAM/ROM,但也讨论了其他技术,如eDRAM和“1T SRAM”。
{"title":"Tomorrows high-quality SoCs require high-quality embedded memories today","authors":"Ulf Schlichtmann","doi":"10.1109/ISQED.2002.996735","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996735","url":null,"abstract":"Summary form only given. Embedded memories increasingly dominate SoC designs - whether chip area, performance, power consumption, manufacturing yield or design time are considered. ITRS data indicate that the embedded memory contents of ICs may increase from 20% in 1999 to 90% at the 50 nm node by the end of the decade. Therefore, even more than at present, the success of future SoC design will depend on the availability of high-quality embedded memories. Advanced process technologies pose new challenges for meeting these quality criteria. Some of the challenges are: providing flexible redundancy solutions for embedded SRAMs; designing competitive memories despite ever increasing leakage currents; reducing SRAM susceptibility to soft-error rate (SER). These challenges are bringing about the need for significant innovations in design of embedded memories, much more so than in recent previous process generations. In the presentation, the challenges are outlined and solutions are proposed. The focus of the discussion is on SRAM/ROM, but other technologies such as eDRAM and \"1T SRAM\" are also addressed.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74096122","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
The OpenAccess coalition - the drive to an open industry standard information model, API, and reference implementation for IC design data 开放访问联盟-推动开放的工业标准信息模型、API和IC设计数据的参考实现
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996697
Terry Blanchard, Rick Ferreri, J. Wilmore
The rapidly increasing complexity of integrated circuit design can only be addressed effectively by a design system architecture that supports very efficient, high-quality sharing of IC design data. Integration of the wide variety of tools required to design and verify the performance and quality of ICs can no longer depend on low-bandwidth file formats. Rather, an application programming interface (API) to a standard information model (IM) that provides access to a shared database is an essential infrastructure component of today's IC design systems. Furthermore, this design data API should be standard across the IC CAD industry so that design systems can efficiently draw from best-of-class applications from all sources in the EDA industry. The tight coupling of all tools through a common IM/API enables rapid design convergence with an earlier emphasis on quality issues, which results in higher quality ICs while meeting aggressive time-to-market demands.
快速增加的集成电路设计的复杂性,只能通过一个设计系统架构,支持非常高效,高质量的IC设计数据共享有效地解决。集成设计和验证集成电路性能和质量所需的各种工具不能再依赖于低带宽文件格式。相反,提供对共享数据库的访问的标准信息模型(IM)的应用程序编程接口(API)是当今IC设计系统的基本基础设施组件。此外,这种设计数据API应该成为整个IC CAD行业的标准,以便设计系统可以有效地从EDA行业的所有来源中提取一流的应用程序。通过通用IM/API将所有工具紧密耦合在一起,可以实现快速设计融合,更早地强调质量问题,从而在满足积极的上市时间要求的同时产生更高质量的ic。
{"title":"The OpenAccess coalition - the drive to an open industry standard information model, API, and reference implementation for IC design data","authors":"Terry Blanchard, Rick Ferreri, J. Wilmore","doi":"10.1109/ISQED.2002.996697","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996697","url":null,"abstract":"The rapidly increasing complexity of integrated circuit design can only be addressed effectively by a design system architecture that supports very efficient, high-quality sharing of IC design data. Integration of the wide variety of tools required to design and verify the performance and quality of ICs can no longer depend on low-bandwidth file formats. Rather, an application programming interface (API) to a standard information model (IM) that provides access to a shared database is an essential infrastructure component of today's IC design systems. Furthermore, this design data API should be standard across the IC CAD industry so that design systems can efficiently draw from best-of-class applications from all sources in the EDA industry. The tight coupling of all tools through a common IM/API enables rapid design convergence with an earlier emphasis on quality issues, which results in higher quality ICs while meeting aggressive time-to-market demands.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74430397","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
ALBORZ: Address Level Bus Power Optimization 地址级总线功率优化
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996790
Y. Aghaghiri, F. Fallah, Massoud Pedram
In this paper we introduce a new low power address bus encoding technique, and the resulting code, named ALBORZ. The ALBORZ code is constructed based on transition signaling the limited-weight codes and, with enhancements to make it adaptive and irredundant, results in up to 89% reduction in the instruction bus switching activity, at the expense of a small area overhead.
本文介绍了一种新的低功耗地址总线编码技术,并将其编码为ALBORZ。ALBORZ代码是基于有限权重代码的转换信号构建的,经过增强使其具有自适应性和无冗余性,以牺牲小面积开销为代价,可将指令总线切换活动减少89%。
{"title":"ALBORZ: Address Level Bus Power Optimization","authors":"Y. Aghaghiri, F. Fallah, Massoud Pedram","doi":"10.1109/ISQED.2002.996790","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996790","url":null,"abstract":"In this paper we introduce a new low power address bus encoding technique, and the resulting code, named ALBORZ. The ALBORZ code is constructed based on transition signaling the limited-weight codes and, with enhancements to make it adaptive and irredundant, results in up to 89% reduction in the instruction bus switching activity, at the expense of a small area overhead.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87423710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1