首页 > 最新文献

Proceedings International Symposium on Quality Electronic Design最新文献

英文 中文
A comprehensive layout methodology and layout-specific circuit analyses for three-dimensional integrated circuits 三维集成电路的综合布局方法和具体布局电路分析
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996742
S. Alam, D. Troxel, C. Thompson
In this paper, we describe a comprehensive layout methodology for bonded three-dimensional integrated circuits (3D ICs). In bonded 3D integration technology, parts of a circuit are fabricated on different wafers, and then, the wafers are bonded with a glue layer of Cu or polymer based adhesive. Using our layout methodology, designers can layout such 3D circuits with necessary information on inter-wafer via/contact and orientation of each wafer embedded in the layout. We have implemented the layout methodology in 3DMagic. Availability of 3DMagic has led to interesting research with a wide range of layout-specific circuit analyses, from performance comparison of 2D and 3D circuits to layout-specific reliability analyses in 3D circuits. Using 3DMagic, researchers have designed and simulated an 8-bit encryption processor mapped into 2D and 3D FPGA layouts. Moreover, the layout methodology is an essential element of our ongoing research for the framework of a novel reliability computer aided design tool, ERNI-3D.
在本文中,我们描述了一种键合三维集成电路(3D ic)的综合布局方法。在键合三维集成技术中,将电路的部件制作在不同的晶圆上,然后用铜或聚合物基粘合剂粘合在晶圆上。使用我们的布局方法,设计人员可以在布局中嵌入有关晶圆间通道/接触和每个晶圆方向的必要信息来布局这种3D电路。我们已经在3DMagic中实现了布局方法。3DMagic的可用性导致了广泛的特定布局电路分析的有趣研究,从2D和3D电路的性能比较到3D电路中特定布局的可靠性分析。利用3DMagic,研究人员设计并模拟了一个8位加密处理器,映射到2D和3D FPGA布局中。此外,布局方法是我们正在进行的新型可靠性计算机辅助设计工具ERNI-3D框架研究的基本要素。
{"title":"A comprehensive layout methodology and layout-specific circuit analyses for three-dimensional integrated circuits","authors":"S. Alam, D. Troxel, C. Thompson","doi":"10.1109/ISQED.2002.996742","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996742","url":null,"abstract":"In this paper, we describe a comprehensive layout methodology for bonded three-dimensional integrated circuits (3D ICs). In bonded 3D integration technology, parts of a circuit are fabricated on different wafers, and then, the wafers are bonded with a glue layer of Cu or polymer based adhesive. Using our layout methodology, designers can layout such 3D circuits with necessary information on inter-wafer via/contact and orientation of each wafer embedded in the layout. We have implemented the layout methodology in 3DMagic. Availability of 3DMagic has led to interesting research with a wide range of layout-specific circuit analyses, from performance comparison of 2D and 3D circuits to layout-specific reliability analyses in 3D circuits. Using 3DMagic, researchers have designed and simulated an 8-bit encryption processor mapped into 2D and 3D FPGA layouts. Moreover, the layout methodology is an essential element of our ongoing research for the framework of a novel reliability computer aided design tool, ERNI-3D.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85932778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
Behavioral IP specification and integration framework for high-level design reuse 用于高级设计重用的行为IP规范和集成框架
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996777
S. Pillement, D. Chillet, O. Sentieys
Specifying virtual components at the behavioral level appears as the most promising solution to achieve a real efficiency of design reuse. In this paper we propose a methodology to specify and use Behavioral Level IP (BL-IP). Thus, IP designer tasks are easier due to the unified representation offered by this level of abstraction. The genericity of a behavioral IP permits efficient optimizations and make application context adaptations a reality We propose a unified framework to define an IP at the behavioral level and to tune a particular block according to designer needs. Therefore, we define the IP generator tool and the Universal High Level Synthesis concept.
在行为级别指定虚拟组件似乎是实现设计重用真正效率的最有希望的解决方案。在本文中,我们提出了一种方法来指定和使用行为层次IP (BL-IP)。因此,由于这个抽象级别提供了统一的表示,IP设计者的任务变得更加容易。行为IP的通用性允许有效的优化并使应用程序上下文适应成为现实。我们提出了一个统一的框架来定义行为级别的IP,并根据设计者的需要调整特定的块。因此,我们定义了IP生成器工具和通用高级综合概念。
{"title":"Behavioral IP specification and integration framework for high-level design reuse","authors":"S. Pillement, D. Chillet, O. Sentieys","doi":"10.1109/ISQED.2002.996777","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996777","url":null,"abstract":"Specifying virtual components at the behavioral level appears as the most promising solution to achieve a real efficiency of design reuse. In this paper we propose a methodology to specify and use Behavioral Level IP (BL-IP). Thus, IP designer tasks are easier due to the unified representation offered by this level of abstraction. The genericity of a behavioral IP permits efficient optimizations and make application context adaptations a reality We propose a unified framework to define an IP at the behavioral level and to tune a particular block according to designer needs. Therefore, we define the IP generator tool and the Universal High Level Synthesis concept.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81013569","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Measurement of inherent noise in EDA tools EDA工具固有噪声的测量
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996731
A. Kahng, S. Mantik
With advancing semiconductor technology and exponentially growing design complexities, predictability of design tools becomes an important part of a stable top-down design process. Prediction of individual tool solution quality enables designers to use tools to achieve best solutions within prescribed resources, thus reducing design cycle time. However, as EDA tools become more complex, they become less predictable. One factor in the loss of predictability is inherent noise in both algorithms and how the algorithms are invoked. In this work, we seek to identify sources of noise in EDA tools, and analyze the effects of these noise sources on design quality. Our specific contributions are: (i) we propose new behavior criteria for tools with respect to the existence and management of noise; (ii) we compile and categorize possible perturbations in the tool use model or tool architecture that can be sources of noise; and (iii) we assess the behavior of industry place and route tools with respect to these criteria and noise sources. While the behavior criteria give some guidelines for and characterize the stability of tools, we are not recommending that tools be immune from input perturbations. Rather, the categorization of noise allows us to better understand how tools will or should behave; this may eventually enable improved tool predictors that consider inherent tool noise.
随着半导体技术的进步和设计复杂性的指数级增长,设计工具的可预测性成为稳定的自顶向下设计过程的重要组成部分。对单个工具解决方案质量的预测使设计人员能够使用工具在规定的资源内实现最佳解决方案,从而缩短设计周期。然而,随着EDA工具变得越来越复杂,它们变得越来越不可预测。可预测性丧失的一个因素是两种算法中固有的噪声以及如何调用算法。在这项工作中,我们试图识别EDA工具中的噪声源,并分析这些噪声源对设计质量的影响。我们的具体贡献是:(i)我们提出了关于噪音存在和管理的工具的新行为标准;(ii)我们编译和分类工具使用模型或工具架构中可能成为噪声源的扰动;(iii)我们根据这些标准和噪声源评估工业场所和路线工具的行为。虽然行为标准为工具的稳定性提供了一些指导和特征,但我们并不建议工具不受输入扰动的影响。相反,噪声的分类使我们能够更好地理解工具将如何或应该如何工作;这可能最终使考虑固有工具噪声的改进工具预测器成为可能。
{"title":"Measurement of inherent noise in EDA tools","authors":"A. Kahng, S. Mantik","doi":"10.1109/ISQED.2002.996731","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996731","url":null,"abstract":"With advancing semiconductor technology and exponentially growing design complexities, predictability of design tools becomes an important part of a stable top-down design process. Prediction of individual tool solution quality enables designers to use tools to achieve best solutions within prescribed resources, thus reducing design cycle time. However, as EDA tools become more complex, they become less predictable. One factor in the loss of predictability is inherent noise in both algorithms and how the algorithms are invoked. In this work, we seek to identify sources of noise in EDA tools, and analyze the effects of these noise sources on design quality. Our specific contributions are: (i) we propose new behavior criteria for tools with respect to the existence and management of noise; (ii) we compile and categorize possible perturbations in the tool use model or tool architecture that can be sources of noise; and (iii) we assess the behavior of industry place and route tools with respect to these criteria and noise sources. While the behavior criteria give some guidelines for and characterize the stability of tools, we are not recommending that tools be immune from input perturbations. Rather, the categorization of noise allows us to better understand how tools will or should behave; this may eventually enable improved tool predictors that consider inherent tool noise.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85445102","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 37
Chip level signal integrity analysis and crosstalk prediction using artificial neural nets 基于人工神经网络的芯片级信号完整性分析与串扰预测
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996725
A. Ilumoka
Recent ITRS predictions indicate that by the year 2011, the billion transistor monolithic die will be a reality. This clearly poses a challenge to gigascale integrated circuit design with regard to provision of multilevel interconnect wiring for the distribution of power, data and control signals to all parts of a chip. This paper addresses the problem of characterization, modeling and verification of 3D chip level interconnect crosstalk. The novel methodology proposed involves topological decomposition of interconnects into standard cells and the creation of parameterized models of these primitive structures using neural networks. Experimental results from a high performance operational amplifier demonstrates the viability of the approach.
最近的ITRS预测表明,到2011年,十亿晶体管单片芯片将成为现实。这显然对千兆级集成电路设计提出了挑战,因为它需要提供多级互连布线,以便将电源、数据和控制信号分配到芯片的所有部分。本文研究了三维芯片级互连串扰的表征、建模和验证问题。提出的新方法包括将互连拓扑分解为标准单元,并使用神经网络创建这些原始结构的参数化模型。一个高性能运算放大器的实验结果证明了该方法的可行性。
{"title":"Chip level signal integrity analysis and crosstalk prediction using artificial neural nets","authors":"A. Ilumoka","doi":"10.1109/ISQED.2002.996725","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996725","url":null,"abstract":"Recent ITRS predictions indicate that by the year 2011, the billion transistor monolithic die will be a reality. This clearly poses a challenge to gigascale integrated circuit design with regard to provision of multilevel interconnect wiring for the distribution of power, data and control signals to all parts of a chip. This paper addresses the problem of characterization, modeling and verification of 3D chip level interconnect crosstalk. The novel methodology proposed involves topological decomposition of interconnects into standard cells and the creation of parameterized models of these primitive structures using neural networks. Experimental results from a high performance operational amplifier demonstrates the viability of the approach.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83830955","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A new design cost model for the 2001 ITRS 2001 ITRS的新设计成本模型
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996728
A. Kahng, Gary Smith
The International Technology Roadmap for Semiconductors (ITRS) presents an industrywide consensus on the "best current estimate" of the industry's research and development needs out to a 15-year horizon. As such, it provides a guide to the efforts of companies, research organizations, and governments. The ITRS has improved the quality of R&D investment decisions made at all levels and has helped channel research efforts to areas that truly need research breakthroughs. The 2001 edition of ITRS is the result of a worldwide consensus building process. The participation of semiconductor experts from Europe, Japan, Korea, Taiwan, and the USA. has ensured that the 2001 ITRS continues to be the definitive source of guidance for semiconductor research as we strive to extend the historical advancement of semiconductor technology. This paper presents details of an important new element of the 2001 ITRS, namely, the design cost model that has been introduced in the design chapter.
国际半导体技术路线图(ITRS)提出了一项全行业共识,即对该行业研究和开发需求的“最佳当前估计”长达15年。因此,它为公司、研究机构和政府的努力提供了指导。ITRS提高了各级研发投资决策的质量,并帮助将研究工作引导到真正需要研究突破的领域。2001年版的ITRS是全球共识建立过程的结果。来自欧洲、日本、韩国、台湾和美国的半导体专家的参与。确保2001年ITRS继续成为半导体研究的权威指导来源,因为我们努力扩展半导体技术的历史进步。本文详细介绍了2001年ITRS的一个重要新元素,即设计一章中介绍的设计成本模型。
{"title":"A new design cost model for the 2001 ITRS","authors":"A. Kahng, Gary Smith","doi":"10.1109/ISQED.2002.996728","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996728","url":null,"abstract":"The International Technology Roadmap for Semiconductors (ITRS) presents an industrywide consensus on the \"best current estimate\" of the industry's research and development needs out to a 15-year horizon. As such, it provides a guide to the efforts of companies, research organizations, and governments. The ITRS has improved the quality of R&D investment decisions made at all levels and has helped channel research efforts to areas that truly need research breakthroughs. The 2001 edition of ITRS is the result of a worldwide consensus building process. The participation of semiconductor experts from Europe, Japan, Korea, Taiwan, and the USA. has ensured that the 2001 ITRS continues to be the definitive source of guidance for semiconductor research as we strive to extend the historical advancement of semiconductor technology. This paper presents details of an important new element of the 2001 ITRS, namely, the design cost model that has been introduced in the design chapter.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90590090","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Design of reconfigurable access wrappers for embedded core based SOC test 基于嵌入式核的SOC测试可重构访问封装器的设计
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996707
S. Koranne
Testing of embedded core based system-on-chip (SOC) ICs is a well known problem, and the upcoming IEEE P1500 (SECT) standard proposes DfT solutions to alleviate it. One of the proposals is to provide every core in the SOC with test access wrappers. Previous approaches to the problem of wrapper design have proposed static core wrappers, which are designed for a particular test access mechanism (TAM) width. We present the first report of a design of reconfigurable core wrappers. An automatic procedure for the creation of DfT required for reconfiguration using a graph theoretic representation of core wrappers is also presented. Our method is superior to previously published methods as it admits dynamic reconfiguration of core level scan access structures with little area and delay overhead. Using reconfigurable core wrappers the quality of the SOC test schedule can be improved. Theoretical analysis of the corresponding scheduling problem indicates that good approximate schedules can be achieved without significant computational effort.
基于嵌入式核心的片上系统(SOC) ic的测试是一个众所周知的问题,即将推出的IEEE P1500 (SECT)标准提出了DfT解决方案来缓解这个问题。其中一个建议是为SOC中的每个核心提供测试访问包装器。先前解决包装器设计问题的方法提出了静态核心包装器,它是为特定的测试访问机制(TAM)宽度而设计的。我们提出了一种可重构核心包装设计的第一份报告。本文还提出了利用核心包装器的图论表示进行重构所需的DfT的自动生成过程。我们的方法比以前发表的方法更优,因为它允许在很小的面积和延迟开销下动态重构核心层扫描访问结构。使用可重构的核心封装器可以提高SOC测试计划的质量。对相应调度问题的理论分析表明,在不需要大量计算量的情况下,可以得到良好的近似调度。
{"title":"Design of reconfigurable access wrappers for embedded core based SOC test","authors":"S. Koranne","doi":"10.1109/ISQED.2002.996707","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996707","url":null,"abstract":"Testing of embedded core based system-on-chip (SOC) ICs is a well known problem, and the upcoming IEEE P1500 (SECT) standard proposes DfT solutions to alleviate it. One of the proposals is to provide every core in the SOC with test access wrappers. Previous approaches to the problem of wrapper design have proposed static core wrappers, which are designed for a particular test access mechanism (TAM) width. We present the first report of a design of reconfigurable core wrappers. An automatic procedure for the creation of DfT required for reconfiguration using a graph theoretic representation of core wrappers is also presented. Our method is superior to previously published methods as it admits dynamic reconfiguration of core level scan access structures with little area and delay overhead. Using reconfigurable core wrappers the quality of the SOC test schedule can be improved. Theoretical analysis of the corresponding scheduling problem indicates that good approximate schedules can be achieved without significant computational effort.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85377452","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 44
An integrated tool for analog test generation and fault simulation 模拟测试生成和故障仿真的集成工具
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996748
S. Ozev, A. Orailoglu
High levels of design integration and increasing number of analog blocks within a system necessitate automated system-level analog test generation and fault simulation tools. We outline a methodology and toolset for specification-based automated test generation and fault simulation for analog circuits. Test generation is targeted at providing the highest coverage for each specified parameter. The flexibility of assigning analog test attributes is utilized for merging tests leading to test time reduction with no loss in test coverage. Further optimization in test time is obtained through fault simulations by selecting tests that provide adequate coverage in terms of several components and dropping the ones that do not provide additional coverage. The generated test set, fault and yield coverages in terms of each targeted parameter, and testability problems are reported by the tool.
高水平的设计集成和系统中越来越多的模拟块需要自动化的系统级模拟测试生成和故障模拟工具。我们概述了一种基于规范的自动化测试生成和模拟电路故障模拟的方法和工具集。测试生成的目标是为每个指定参数提供最高的覆盖率。分配模拟测试属性的灵活性被用于合并测试,从而减少测试时间,同时不损失测试覆盖率。测试时间的进一步优化是通过故障模拟获得的,通过选择在几个组件方面提供足够覆盖的测试,并放弃那些不提供额外覆盖的测试。生成的测试集、每个目标参数的故障和良率覆盖率以及可测试性问题都由该工具报告。
{"title":"An integrated tool for analog test generation and fault simulation","authors":"S. Ozev, A. Orailoglu","doi":"10.1109/ISQED.2002.996748","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996748","url":null,"abstract":"High levels of design integration and increasing number of analog blocks within a system necessitate automated system-level analog test generation and fault simulation tools. We outline a methodology and toolset for specification-based automated test generation and fault simulation for analog circuits. Test generation is targeted at providing the highest coverage for each specified parameter. The flexibility of assigning analog test attributes is utilized for merging tests leading to test time reduction with no loss in test coverage. Further optimization in test time is obtained through fault simulations by selecting tests that provide adequate coverage in terms of several components and dropping the ones that do not provide additional coverage. The generated test set, fault and yield coverages in terms of each targeted parameter, and testability problems are reported by the tool.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78967242","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Incorporating fault tolerance in analog-to-digital converters (ADCs) 在模数转换器(adc)中加入容错功能
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996753
Mandeep Singh, I. Koren
The reliability of ADCs used in highly critical systems can be increased by applying a two-step procedure starting with sensitivity analysis followed by redesign. The sensitivity analysis is used to identify the most sensitive blocks which could then be redesigned for better reliability by incorporating fault tolerance. This paper illustrates the steps involved in incorporating fault tolerance in an ADC. Two redesign techniques to improve the reliability of a circuit are presented. Novel selective node resizing algorithms for increased tolerance against /spl alpha/-particle induced transients are discussed.
在高度关键的系统中使用的adc的可靠性可以通过应用两步程序来提高,从灵敏度分析开始,然后重新设计。灵敏度分析用于识别最敏感的块,然后可以通过加入容错来重新设计以提高可靠性。本文阐述了在ADC中加入容错所涉及的步骤。提出了两种提高电路可靠性的再设计技术。讨论了新的选择性节点调整算法,以增加对/spl α /粒子诱导瞬态的容忍度。
{"title":"Incorporating fault tolerance in analog-to-digital converters (ADCs)","authors":"Mandeep Singh, I. Koren","doi":"10.1109/ISQED.2002.996753","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996753","url":null,"abstract":"The reliability of ADCs used in highly critical systems can be increased by applying a two-step procedure starting with sensitivity analysis followed by redesign. The sensitivity analysis is used to identify the most sensitive blocks which could then be redesigned for better reliability by incorporating fault tolerance. This paper illustrates the steps involved in incorporating fault tolerance in an ADC. Two redesign techniques to improve the reliability of a circuit are presented. Novel selective node resizing algorithms for increased tolerance against /spl alpha/-particle induced transients are discussed.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88675349","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
VC rating and quality metrics: why bother? [SoC] VC评级和质量指标:何苦?(SoC)
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996745
P. Bricaud
System-on-a-chip (SoC) is the paramount challenge of the electronic industry for the next millennium. The semiconductor industry has delivered what we were expecting and what was predicted: silicon availability for over 10 million gates. The VSIA (Virtual Socket Initiative Alliance) has defined industry standards and data formats for SoC. The reuse methodology manual, first 'how-to-do' book to create reusable IPs (intellectual properties) for SoC designs has been published. EDA tool providers understand the issues and are proposing new tools and solutions on a quarterly basis. The last stage needs to be run: consolidate the experience and know-how of VSIA and IP OpenMORE rating system into an industry adopted VC (virtual component) quality metrics, and then pursue to tackle the next challenges: formal system specifications and VC transfer infrastructure. The objective of this paper is to set the stage for the final step towards a VC quality metrics effort that the industry needs to adopt, and define the next achievable goals.
片上系统(SoC)是电子工业下一个千年的最大挑战。半导体行业已经实现了我们的期望和预测:超过1000万个栅极的硅可用性。VSIA (Virtual Socket Initiative Alliance)为SoC定义了行业标准和数据格式。重用方法手册,第一本为SoC设计创建可重用ip(知识产权)的“如何做”书籍已经出版。EDA工具提供商了解这些问题,并每季度提出新的工具和解决方案。最后一个阶段需要运行:将VSIA和IP OpenMORE评级系统的经验和专有技术整合到行业采用的VC(虚拟组件)质量指标中,然后继续解决下一个挑战:正式的系统规范和VC转移基础设施。本文的目的是为行业需要采用的风险投资质量度量工作的最后一步奠定基础,并定义下一个可实现的目标。
{"title":"VC rating and quality metrics: why bother? [SoC]","authors":"P. Bricaud","doi":"10.1109/ISQED.2002.996745","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996745","url":null,"abstract":"System-on-a-chip (SoC) is the paramount challenge of the electronic industry for the next millennium. The semiconductor industry has delivered what we were expecting and what was predicted: silicon availability for over 10 million gates. The VSIA (Virtual Socket Initiative Alliance) has defined industry standards and data formats for SoC. The reuse methodology manual, first 'how-to-do' book to create reusable IPs (intellectual properties) for SoC designs has been published. EDA tool providers understand the issues and are proposing new tools and solutions on a quarterly basis. The last stage needs to be run: consolidate the experience and know-how of VSIA and IP OpenMORE rating system into an industry adopted VC (virtual component) quality metrics, and then pursue to tackle the next challenges: formal system specifications and VC transfer infrastructure. The objective of this paper is to set the stage for the final step towards a VC quality metrics effort that the industry needs to adopt, and define the next achievable goals.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78598575","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Automatic test program generation from RT-level microprocessor descriptions 从rt级微处理器描述自动生成测试程序
Pub Date : 2002-08-07 DOI: 10.1109/ISQED.2002.996710
Fulvio Corno, G. Cumani, M. Reorda, Giovanni Squillero
The paper addresses the issue of microprocessor and microcontroller testing, and follows an approach based on the generation of a test program. The proposed method relies on two phases: in the first, a library of code fragments (named macros) is generated by hand based on the knowledge of the instruction set, only. In the second phase, an optimization algorithm is run to suitably select macros and values for their parameters. The algorithm only relies on RT-level information, and exploits a suitable RT-level fault model to guide the test program generation. A major advantage of the proposed approach lies in the fact that it does not require any knowledge about the low level implementation of the processor. Experimental results gathered on an i8051 model using a prototypical implementation of the approach show that it is able to generate test programs whose gate-level fault coverage is higher than the one obtained by comparable gate-level ATPG tools, while the computational effort and the length of the generated test program are similar.
本文讨论了微处理器和微控制器的测试问题,并采用了基于生成测试程序的方法。提出的方法依赖于两个阶段:在第一个阶段,仅根据指令集的知识手工生成代码片段库(称为宏)。在第二阶段,运行优化算法来选择合适的宏及其参数值。该算法仅依赖于rt级信息,并利用合适的rt级故障模型来指导测试程序的生成。所提出的方法的一个主要优点在于,它不需要任何有关处理器底层实现的知识。使用该方法的原型实现在i8051模型上收集的实验结果表明,该方法能够生成门级故障覆盖率高于同类门级ATPG工具的测试程序,而生成的测试程序的计算量和长度相似。
{"title":"Automatic test program generation from RT-level microprocessor descriptions","authors":"Fulvio Corno, G. Cumani, M. Reorda, Giovanni Squillero","doi":"10.1109/ISQED.2002.996710","DOIUrl":"https://doi.org/10.1109/ISQED.2002.996710","url":null,"abstract":"The paper addresses the issue of microprocessor and microcontroller testing, and follows an approach based on the generation of a test program. The proposed method relies on two phases: in the first, a library of code fragments (named macros) is generated by hand based on the knowledge of the instruction set, only. In the second phase, an optimization algorithm is run to suitably select macros and values for their parameters. The algorithm only relies on RT-level information, and exploits a suitable RT-level fault model to guide the test program generation. A major advantage of the proposed approach lies in the fact that it does not require any knowledge about the low level implementation of the processor. Experimental results gathered on an i8051 model using a prototypical implementation of the approach show that it is able to generate test programs whose gate-level fault coverage is higher than the one obtained by comparable gate-level ATPG tools, while the computational effort and the length of the generated test program are similar.","PeriodicalId":20510,"journal":{"name":"Proceedings International Symposium on Quality Electronic Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2002-08-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85992886","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
期刊
Proceedings International Symposium on Quality Electronic Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1