首页 > 最新文献

Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)最新文献

英文 中文
Advanced i-PVD barrier metal deposition technology for 90 nm Cu interconnects 用于90 nm铜互连的先进i-PVD阻挡金属沉积技术
K. Park, I. Kim, B. Suh, S. Choi, Wenhuai Song, Y. Wee, S. Lee, Jae-Sik Chung, J. Chung, S. Hah, Jeonghoon Ahn, K.T. Lee, H. Kang, K. Suh
An advanced i-PVD(ionized physical vapor deposition) barrier metal deposition technology has been developed for 90 nm Cu interconnects. The feature of this technology is to re-sputter the thick barrier metal at the contact/trench bottom, which was deposited by i-PVD, and attach the re-sputtered barrier metal to the sidewall. By using this technology, it is possible to obtain relatively thin bottom and thick sidewall coverage and thus a more conformal deposition. This technology is shown to be very effective in both lowering via resistance and improving reliabilities of 90 nm Cu interconnects embedded in SiOC-type low-k(k=2.9) inter-metal dielectric.
开发了一种先进的i-PVD(电离物理气相沉积)屏障金属沉积技术,用于90 nm铜互连。该技术的特点是将i-PVD沉积的厚阻隔金属在触点/沟槽底部进行再溅射,并将再溅射的阻隔金属附着在侧壁上。通过使用该技术,可以获得相对较薄的底部和较厚的侧壁覆盖,从而获得更适形的沉积。该技术在降低通孔电阻和提高嵌入sioc型低k(k=2.9)金属间介电介质的90 nm Cu互连的可靠性方面非常有效。
{"title":"Advanced i-PVD barrier metal deposition technology for 90 nm Cu interconnects","authors":"K. Park, I. Kim, B. Suh, S. Choi, Wenhuai Song, Y. Wee, S. Lee, Jae-Sik Chung, J. Chung, S. Hah, Jeonghoon Ahn, K.T. Lee, H. Kang, K. Suh","doi":"10.1109/IITC.2003.1219743","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219743","url":null,"abstract":"An advanced i-PVD(ionized physical vapor deposition) barrier metal deposition technology has been developed for 90 nm Cu interconnects. The feature of this technology is to re-sputter the thick barrier metal at the contact/trench bottom, which was deposited by i-PVD, and attach the re-sputtered barrier metal to the sidewall. By using this technology, it is possible to obtain relatively thin bottom and thick sidewall coverage and thus a more conformal deposition. This technology is shown to be very effective in both lowering via resistance and improving reliabilities of 90 nm Cu interconnects embedded in SiOC-type low-k(k=2.9) inter-metal dielectric.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128201348","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Derivation of interconnect length distribution in X architecture LSIs X结构lsi互连长度分布的推导
H. Nakashima, N. Takagi, K. Masu
The prediction model for the interconnect length distribution (ILD) in LSIs with orthogonal interconnects based on Rent's empirical rule is extended to the prediction of ILD for the X Architecture containing diagonal interconnects and all-directional interconnects. The effectiveness of the X Architecture is evaluated based on the new prediction model.
将基于Rent经验法则的正交互连互连网络中互连长度分布(ILD)的预测模型推广到包含对角互连和全向互连的X体系结构中互连长度分布的预测。基于新的预测模型对X架构的有效性进行了评估。
{"title":"Derivation of interconnect length distribution in X architecture LSIs","authors":"H. Nakashima, N. Takagi, K. Masu","doi":"10.1109/IITC.2003.1219713","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219713","url":null,"abstract":"The prediction model for the interconnect length distribution (ILD) in LSIs with orthogonal interconnects based on Rent's empirical rule is extended to the prediction of ILD for the X Architecture containing diagonal interconnects and all-directional interconnects. The effectiveness of the X Architecture is evaluated based on the new prediction model.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131247195","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
An overview of stress free polishing of Cu with ultra low-k(k<2.0) films 超低k(k<2.0)薄膜无应力抛光铜的研究进展
J. Pallinti, S. Lakshminarayanan, W. Barth, P. Wright, M. Lu, S. Reder, L. Kwak, W. Catabay, D. Wang, F. Ho
An overview of the process performance of Stress Free Polishing technology (SFP) for copper removal at sub 90 nm nodes is presented in this paper. A brief description of the SFP process and polishing characteristics is provided along with electrical results. Dependence of post SFP copper surface quality on the roughness of the incoming films and post plating anneal conditions is also discussed.
本文综述了用于90 nm以下节点的无应力抛光技术(SFP)的工艺性能。简要描述了SFP工艺和抛光特性,并提供了电气结果。讨论了SFP后镀铜表面质量与来料膜粗糙度和镀后退火条件的关系。
{"title":"An overview of stress free polishing of Cu with ultra low-k(k<2.0) films","authors":"J. Pallinti, S. Lakshminarayanan, W. Barth, P. Wright, M. Lu, S. Reder, L. Kwak, W. Catabay, D. Wang, F. Ho","doi":"10.1109/IITC.2003.1219719","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219719","url":null,"abstract":"An overview of the process performance of Stress Free Polishing technology (SFP) for copper removal at sub 90 nm nodes is presented in this paper. A brief description of the SFP process and polishing characteristics is provided along with electrical results. Dependence of post SFP copper surface quality on the roughness of the incoming films and post plating anneal conditions is also discussed.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"115 S147","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132904985","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
MIM HfO/sub 2/ low leakage capacitors for eDRAM integration at interconnect levels 用于互连级eDRAM集成的MIM HfO/sub /低漏电容
P. Mazoyer, S. Blonkowski, F. Mondon, A. Farcy, J. Torres, G. Reimbold, F. Martin, J. Damlencourt, Y. Morand, N. Bicais, S. Descombes
An innovative 6 nm HfO/sub 2/ MIM capacitor was integrated in interconnect levels for eDRAM functions. HfO/sub 2/ layers or Al/sub 2/O/sub 3/-HfO/sub 2/ stacks were deposited by ALCVD/sup /spl reg//. Using damascene process with TiN electrodes, this method is fully compatible with copper interconnects technology. No high temperature annealing is required to obtain dielectric performances satisfying eDRAM needs: 30 fF per cell, low leakages and high reliability.
创新的6纳米HfO/sub 2/ MIM电容器集成在eDRAM功能的互连层中。用ALCVD/sup /spl reg// /沉积HfO/ sub2 /层或Al/ sub2 /O/ sub3 /-HfO/ sub2 /堆。该方法采用TiN电极的damascene工艺,完全兼容铜互连技术。无需高温退火即可获得满足eDRAM需求的介电性能:每个电池30 fF,低泄漏和高可靠性。
{"title":"MIM HfO/sub 2/ low leakage capacitors for eDRAM integration at interconnect levels","authors":"P. Mazoyer, S. Blonkowski, F. Mondon, A. Farcy, J. Torres, G. Reimbold, F. Martin, J. Damlencourt, Y. Morand, N. Bicais, S. Descombes","doi":"10.1109/IITC.2003.1219729","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219729","url":null,"abstract":"An innovative 6 nm HfO/sub 2/ MIM capacitor was integrated in interconnect levels for eDRAM functions. HfO/sub 2/ layers or Al/sub 2/O/sub 3/-HfO/sub 2/ stacks were deposited by ALCVD/sup /spl reg//. Using damascene process with TiN electrodes, this method is fully compatible with copper interconnects technology. No high temperature annealing is required to obtain dielectric performances satisfying eDRAM needs: 30 fF per cell, low leakages and high reliability.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130048390","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A systematic approach to interconnect modeling and process monitoring 互连建模和过程监控的系统方法
N. Nagaraj, M. Kulkarni, T. Bonifield, U. Narasimha, I. Hossain, C. Zabierek
This paper describes a systematic approach to the use of electrical measurements for interconnect modeling and process monitoring. A fast and area efficient technique to measure interconnect capacitance in a scribe line is discussed. The benefits of this technique in monitoring interconnect process, and in fanning out technology to multiple fabs, in monitoring wafer-to-wafer/lot-to-lot variations and in accurate modeling of capacitance are illustrated using the results from 130 nm copper technology.
本文描述了一种系统的方法来使用电气测量互连建模和过程监控。讨论了一种快速、面积有效的划线线互连电容测量方法。该技术在监控互连过程、向多个晶圆厂展开技术、监控晶圆对晶圆/批对批变化以及精确建模电容方面的优势,均使用130纳米铜技术的结果加以说明。
{"title":"A systematic approach to interconnect modeling and process monitoring","authors":"N. Nagaraj, M. Kulkarni, T. Bonifield, U. Narasimha, I. Hossain, C. Zabierek","doi":"10.1109/IITC.2003.1219728","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219728","url":null,"abstract":"This paper describes a systematic approach to the use of electrical measurements for interconnect modeling and process monitoring. A fast and area efficient technique to measure interconnect capacitance in a scribe line is discussed. The benefits of this technique in monitoring interconnect process, and in fanning out technology to multiple fabs, in monitoring wafer-to-wafer/lot-to-lot variations and in accurate modeling of capacitance are illustrated using the results from 130 nm copper technology.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130463773","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SiOCH low-k etching: quantitative prediction of surface reaction SiOCH低k蚀刻:表面反应的定量预测
T. Tatsumi, K. Nagahata, T. Saitoh, Y. Morita
We propose a mechanism and a prediction model for SiOCH etching. The surface reaction depends on both incident fluxes from plasma and material properties (film composition and density). The sensitivity of etch rate to the change in incident CF/sub x/ fluxes induces a narrow process window for the etching of SiOCH and porous SiOCH materials. Further cooperation between etching and other process engineers needs to be promoted to create a more reliable process module.
我们提出了SiOCH腐蚀的机理和预测模型。表面反应取决于等离子体的入射通量和材料特性(薄膜组成和密度)。腐蚀速率对入射CF/sub x/通量变化的敏感性导致了SiOCH和多孔SiOCH材料的腐蚀过程窗口狭窄。蚀刻和其他工艺工程师之间需要进一步合作,以创造更可靠的工艺模块。
{"title":"SiOCH low-k etching: quantitative prediction of surface reaction","authors":"T. Tatsumi, K. Nagahata, T. Saitoh, Y. Morita","doi":"10.1109/IITC.2003.1219764","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219764","url":null,"abstract":"We propose a mechanism and a prediction model for SiOCH etching. The surface reaction depends on both incident fluxes from plasma and material properties (film composition and density). The sensitivity of etch rate to the change in incident CF/sub x/ fluxes induces a narrow process window for the etching of SiOCH and porous SiOCH materials. Further cooperation between etching and other process engineers needs to be promoted to create a more reliable process module.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130712125","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Effect of CMP slurry environments on subcritical crack growth in ultra low-k dielectric materials CMP浆料环境对超低k介电材料亚临界裂纹扩展的影响
E. Guyer, R. Dauskardt
The success of next generation interconnects relies to a large degree on the integration of low-k dielectric (LKD) materials capable of surviving chemical mechanical planarization (CMP). However, little is currently understood about the effect CMP slurry environments have on the reliability of these advanced dielectrics. Accordingly, the focus of this research was to characterize and model the effect of CMP solution chemistry on adhesion and subcritical debond growth in thin-film structures containing LKD materials for future generation devices.
下一代互连的成功在很大程度上依赖于能够经受化学机械平化(CMP)的低k介电(LKD)材料的集成。然而,目前人们对CMP浆液环境对这些先进电介质可靠性的影响知之甚少。因此,本研究的重点是表征和模拟CMP溶液化学对未来一代器件中含有LKD材料的薄膜结构的粘附和亚临界脱落生长的影响。
{"title":"Effect of CMP slurry environments on subcritical crack growth in ultra low-k dielectric materials","authors":"E. Guyer, R. Dauskardt","doi":"10.1109/IITC.2003.1219721","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219721","url":null,"abstract":"The success of next generation interconnects relies to a large degree on the integration of low-k dielectric (LKD) materials capable of surviving chemical mechanical planarization (CMP). However, little is currently understood about the effect CMP slurry environments have on the reliability of these advanced dielectrics. Accordingly, the focus of this research was to characterize and model the effect of CMP solution chemistry on adhesion and subcritical debond growth in thin-film structures containing LKD materials for future generation devices.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"50 3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134333529","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Permalloy loaded transmission line for high-speed interconnects 用于高速互连的坡莫合金负载传输线
P. Wang, N. Tien, E. Kan
In this work, we demonstrate: (1) permalloy can be incorporated with planar transmission lines using CMOS compatible process. Patterning the permalloy structures can extend its application well into the microwave frequency range, (2) the transmission line characteristics do not change under up to 50 mA current excitations, (3) the patterned permalloy reduces the magnetic coupling between two adjacent transmission lines by approximately 10 dB. The demonstrated operation frequency range, current drivability and magnetic field shielding property show that the lines can be used for high-speed interconnect applications in CMOS technologies.
在这项工作中,我们证明:(1)坡莫合金可以采用CMOS兼容工艺与平面传输线结合。对坡莫合金结构进行图案化可以很好地将其应用范围扩展到微波频率范围,(2)在高达50 mA的电流激励下传输线特性不会发生变化,(3)图案化的坡莫合金将相邻两条传输线之间的磁耦合降低了约10 dB。工作频率范围、电流驱动性和磁场屏蔽性能表明,该线路可用于CMOS技术中的高速互连应用。
{"title":"Permalloy loaded transmission line for high-speed interconnects","authors":"P. Wang, N. Tien, E. Kan","doi":"10.1109/IITC.2003.1219741","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219741","url":null,"abstract":"In this work, we demonstrate: (1) permalloy can be incorporated with planar transmission lines using CMOS compatible process. Patterning the permalloy structures can extend its application well into the microwave frequency range, (2) the transmission line characteristics do not change under up to 50 mA current excitations, (3) the patterned permalloy reduces the magnetic coupling between two adjacent transmission lines by approximately 10 dB. The demonstrated operation frequency range, current drivability and magnetic field shielding property show that the lines can be used for high-speed interconnect applications in CMOS technologies.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"84 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134540369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Paving the way for full-fluid IC metallization using supercritical carbon dioxide 为使用超临界二氧化碳的全流体IC金属化铺平了道路
E. Kondoh, V. Vezin, K. Shigama, S. Sunada, K. Kubo, T. Ohta
Metal thin films for IC metallization are currently deposited either from vapor (PVD/CVD) or liquid (e.g. ECD). This paper reports critical bases for full IC metallization using only supercritical carbon dioxide (scCO/sub 2/) fluids as a deposition medium. High-aspect-ratio filling capability, (111)-preferential growth, low temperature deposition possibility, important roles of solvent capability of scCO/sub 2/ including F-less solid precursor utilization, and barrier metal deposition possibility are described.
用于集成电路金属化的金属薄膜目前要么通过气相沉积(PVD/CVD),要么通过液体沉积(例如ECD)。本文报道了仅使用超临界二氧化碳(scCO/sub 2/)流体作为沉积介质进行全IC金属化的关键碱。描述了高宽高比填充能力、(111)优先生长、低温沉积可能性、scCO/sub - 2/的溶剂性能(含F-less固体前驱体利用)和屏障金属沉积可能性的重要作用。
{"title":"Paving the way for full-fluid IC metallization using supercritical carbon dioxide","authors":"E. Kondoh, V. Vezin, K. Shigama, S. Sunada, K. Kubo, T. Ohta","doi":"10.1109/IITC.2003.1219736","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219736","url":null,"abstract":"Metal thin films for IC metallization are currently deposited either from vapor (PVD/CVD) or liquid (e.g. ECD). This paper reports critical bases for full IC metallization using only supercritical carbon dioxide (scCO/sub 2/) fluids as a deposition medium. High-aspect-ratio filling capability, (111)-preferential growth, low temperature deposition possibility, important roles of solvent capability of scCO/sub 2/ including F-less solid precursor utilization, and barrier metal deposition possibility are described.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132625763","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fundamental, integration, and reliability of the 90 nm generation Cu/LK(k=2.5) damascene using a novel PECVD porous low-k dielectric film 使用新型PECVD多孔低k介电膜的90 nm一代Cu/LK(k=2.5) damascense的基础,集成和可靠性
Y.L. Yang, L.P. Li, H. Ouyang, Y.C. Lu, H. Lu, C.H. Lin, K.C. Lin, S. Jang, M. Liang
A novel PECVD porous low-k material with k=2.5, LK(k=2.5), has been successfully integrated with Cu for 90 nm generation BEOL interconnect technology on 300 mm wafers. Fundamental film studies showed that this low-k material is thermally stable up to 400/spl deg/C and can be strongly adhered to various dielectric films. Electrical measurement results from the Cu/LK(k=2.5) damascene interconnect showed tight and 100%-yielded distributions in 0.12/0.12 /spl mu/m interline leakage, one million 0.13 /spl mu/m viachain via Rc and 0.12 /spl mu/m Cu line Rs. To maximize the Cu/LK(k=2.5) interconnect capacitance performance, no middle etch stop layer and no top CMP cap were used in the dielectric film stacking. The final k value of the LK(k=2.5) after integration was retained at 2.5 using an optimized PR ashing chemistry by comparing the Cu/LK(2.5) 0.12/0.12 /spl mu/m interline capacitance to a Cu/LK(3.0) one. The intrinsic BEOL time dependent dielectric breakdown (TDDB) lifetime, T/sub 63,/ of the Cu/LK(k=2.5) is predicted to be 4.56/spl times/10/sup 8/ yrs at 0.3 MV/cm and 125/spl deg/C. Further reliability evaluations of the Cu/LK(k=2.5) in electromigration (EM) and stress migration (SM) showed that its predicted T/sub 0.1/ EM lifetimes for 0.12 /spl mu/m Cu line or 0.13 /spl mu/m via at 1 MA/cm2 and 110/spl deg/C are 152k hrs or 144k hrs, and its SM failure rate (>10% shift in Rc) is zero after 500hr annealing at 175/spl deg/C. Finally, the packaging feasibility of this Cu/LK(k=2.5) damascene interconnect was also demonstrated using current wire bonding technologies.
一种k=2.5的新型PECVD多孔低k材料LK(k=2.5)已成功地与Cu集成在300mm晶圆上,用于90 nm一代BEOL互连技术。基本的薄膜研究表明,这种低k材料在高达400/spl°C的温度下具有热稳定性,并且可以牢固地粘附在各种介电薄膜上。电学测量结果表明,Cu/LK(k=2.5) damascene互连线在0.12/0.12 /spl mu/m线间漏损、100万个0.13 /spl mu/m线间漏损、0.12/ spl mu/m线间漏损、0.12/ spl mu/m线间漏损和0.12/ spl mu/m线间漏损分布紧密且产率100%。为了最大限度地提高Cu/LK(k=2.5)互连线的电容性能,在介质膜堆叠中不使用中间刻蚀停止层和顶部CMP帽。通过比较Cu/LK(2.5) 0.12/0.12 /spl mu/m线间电容与Cu/LK(3.0)线间电容,优化PR灰化化学方法使积分后LK(k=2.5)的最终k值保持在2.5。在0.3 MV/cm和125/spl度/C条件下,Cu/LK(k=2.5)的固有BEOL时间相关介电击穿(TDDB)寿命T/sub 63 /预测为4.56/spl次/10/sup 8/年。进一步对电迁移(EM)和应力迁移(SM)中Cu/LK(k=2.5)的可靠性评估表明,在1 MA/cm2和110/spl℃条件下,对0.12 /spl mu/m Cu线和0.13 /spl mu/m Cu线的预估T/sub / 0.1/ EM寿命分别为152k小时和144k小时,在175/spl℃条件下退火500hr后,其SM失效率(Rc位移>10%)为零。最后,该Cu/LK(k=2.5) damascene互连的封装可行性也被证明使用当前的线键合技术。
{"title":"Fundamental, integration, and reliability of the 90 nm generation Cu/LK(k=2.5) damascene using a novel PECVD porous low-k dielectric film","authors":"Y.L. Yang, L.P. Li, H. Ouyang, Y.C. Lu, H. Lu, C.H. Lin, K.C. Lin, S. Jang, M. Liang","doi":"10.1109/IITC.2003.1219698","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219698","url":null,"abstract":"A novel PECVD porous low-k material with k=2.5, LK(k=2.5), has been successfully integrated with Cu for 90 nm generation BEOL interconnect technology on 300 mm wafers. Fundamental film studies showed that this low-k material is thermally stable up to 400/spl deg/C and can be strongly adhered to various dielectric films. Electrical measurement results from the Cu/LK(k=2.5) damascene interconnect showed tight and 100%-yielded distributions in 0.12/0.12 /spl mu/m interline leakage, one million 0.13 /spl mu/m viachain via Rc and 0.12 /spl mu/m Cu line Rs. To maximize the Cu/LK(k=2.5) interconnect capacitance performance, no middle etch stop layer and no top CMP cap were used in the dielectric film stacking. The final k value of the LK(k=2.5) after integration was retained at 2.5 using an optimized PR ashing chemistry by comparing the Cu/LK(2.5) 0.12/0.12 /spl mu/m interline capacitance to a Cu/LK(3.0) one. The intrinsic BEOL time dependent dielectric breakdown (TDDB) lifetime, T/sub 63,/ of the Cu/LK(k=2.5) is predicted to be 4.56/spl times/10/sup 8/ yrs at 0.3 MV/cm and 125/spl deg/C. Further reliability evaluations of the Cu/LK(k=2.5) in electromigration (EM) and stress migration (SM) showed that its predicted T/sub 0.1/ EM lifetimes for 0.12 /spl mu/m Cu line or 0.13 /spl mu/m via at 1 MA/cm2 and 110/spl deg/C are 152k hrs or 144k hrs, and its SM failure rate (>10% shift in Rc) is zero after 500hr annealing at 175/spl deg/C. Finally, the packaging feasibility of this Cu/LK(k=2.5) damascene interconnect was also demonstrated using current wire bonding technologies.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130924530","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1