Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219743
K. Park, I. Kim, B. Suh, S. Choi, Wenhuai Song, Y. Wee, S. Lee, Jae-Sik Chung, J. Chung, S. Hah, Jeonghoon Ahn, K.T. Lee, H. Kang, K. Suh
An advanced i-PVD(ionized physical vapor deposition) barrier metal deposition technology has been developed for 90 nm Cu interconnects. The feature of this technology is to re-sputter the thick barrier metal at the contact/trench bottom, which was deposited by i-PVD, and attach the re-sputtered barrier metal to the sidewall. By using this technology, it is possible to obtain relatively thin bottom and thick sidewall coverage and thus a more conformal deposition. This technology is shown to be very effective in both lowering via resistance and improving reliabilities of 90 nm Cu interconnects embedded in SiOC-type low-k(k=2.9) inter-metal dielectric.
{"title":"Advanced i-PVD barrier metal deposition technology for 90 nm Cu interconnects","authors":"K. Park, I. Kim, B. Suh, S. Choi, Wenhuai Song, Y. Wee, S. Lee, Jae-Sik Chung, J. Chung, S. Hah, Jeonghoon Ahn, K.T. Lee, H. Kang, K. Suh","doi":"10.1109/IITC.2003.1219743","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219743","url":null,"abstract":"An advanced i-PVD(ionized physical vapor deposition) barrier metal deposition technology has been developed for 90 nm Cu interconnects. The feature of this technology is to re-sputter the thick barrier metal at the contact/trench bottom, which was deposited by i-PVD, and attach the re-sputtered barrier metal to the sidewall. By using this technology, it is possible to obtain relatively thin bottom and thick sidewall coverage and thus a more conformal deposition. This technology is shown to be very effective in both lowering via resistance and improving reliabilities of 90 nm Cu interconnects embedded in SiOC-type low-k(k=2.9) inter-metal dielectric.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128201348","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219713
H. Nakashima, N. Takagi, K. Masu
The prediction model for the interconnect length distribution (ILD) in LSIs with orthogonal interconnects based on Rent's empirical rule is extended to the prediction of ILD for the X Architecture containing diagonal interconnects and all-directional interconnects. The effectiveness of the X Architecture is evaluated based on the new prediction model.
{"title":"Derivation of interconnect length distribution in X architecture LSIs","authors":"H. Nakashima, N. Takagi, K. Masu","doi":"10.1109/IITC.2003.1219713","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219713","url":null,"abstract":"The prediction model for the interconnect length distribution (ILD) in LSIs with orthogonal interconnects based on Rent's empirical rule is extended to the prediction of ILD for the X Architecture containing diagonal interconnects and all-directional interconnects. The effectiveness of the X Architecture is evaluated based on the new prediction model.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131247195","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219719
J. Pallinti, S. Lakshminarayanan, W. Barth, P. Wright, M. Lu, S. Reder, L. Kwak, W. Catabay, D. Wang, F. Ho
An overview of the process performance of Stress Free Polishing technology (SFP) for copper removal at sub 90 nm nodes is presented in this paper. A brief description of the SFP process and polishing characteristics is provided along with electrical results. Dependence of post SFP copper surface quality on the roughness of the incoming films and post plating anneal conditions is also discussed.
{"title":"An overview of stress free polishing of Cu with ultra low-k(k<2.0) films","authors":"J. Pallinti, S. Lakshminarayanan, W. Barth, P. Wright, M. Lu, S. Reder, L. Kwak, W. Catabay, D. Wang, F. Ho","doi":"10.1109/IITC.2003.1219719","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219719","url":null,"abstract":"An overview of the process performance of Stress Free Polishing technology (SFP) for copper removal at sub 90 nm nodes is presented in this paper. A brief description of the SFP process and polishing characteristics is provided along with electrical results. Dependence of post SFP copper surface quality on the roughness of the incoming films and post plating anneal conditions is also discussed.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"115 S147","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132904985","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219729
P. Mazoyer, S. Blonkowski, F. Mondon, A. Farcy, J. Torres, G. Reimbold, F. Martin, J. Damlencourt, Y. Morand, N. Bicais, S. Descombes
An innovative 6 nm HfO/sub 2/ MIM capacitor was integrated in interconnect levels for eDRAM functions. HfO/sub 2/ layers or Al/sub 2/O/sub 3/-HfO/sub 2/ stacks were deposited by ALCVD/sup /spl reg//. Using damascene process with TiN electrodes, this method is fully compatible with copper interconnects technology. No high temperature annealing is required to obtain dielectric performances satisfying eDRAM needs: 30 fF per cell, low leakages and high reliability.
{"title":"MIM HfO/sub 2/ low leakage capacitors for eDRAM integration at interconnect levels","authors":"P. Mazoyer, S. Blonkowski, F. Mondon, A. Farcy, J. Torres, G. Reimbold, F. Martin, J. Damlencourt, Y. Morand, N. Bicais, S. Descombes","doi":"10.1109/IITC.2003.1219729","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219729","url":null,"abstract":"An innovative 6 nm HfO/sub 2/ MIM capacitor was integrated in interconnect levels for eDRAM functions. HfO/sub 2/ layers or Al/sub 2/O/sub 3/-HfO/sub 2/ stacks were deposited by ALCVD/sup /spl reg//. Using damascene process with TiN electrodes, this method is fully compatible with copper interconnects technology. No high temperature annealing is required to obtain dielectric performances satisfying eDRAM needs: 30 fF per cell, low leakages and high reliability.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130048390","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219728
N. Nagaraj, M. Kulkarni, T. Bonifield, U. Narasimha, I. Hossain, C. Zabierek
This paper describes a systematic approach to the use of electrical measurements for interconnect modeling and process monitoring. A fast and area efficient technique to measure interconnect capacitance in a scribe line is discussed. The benefits of this technique in monitoring interconnect process, and in fanning out technology to multiple fabs, in monitoring wafer-to-wafer/lot-to-lot variations and in accurate modeling of capacitance are illustrated using the results from 130 nm copper technology.
{"title":"A systematic approach to interconnect modeling and process monitoring","authors":"N. Nagaraj, M. Kulkarni, T. Bonifield, U. Narasimha, I. Hossain, C. Zabierek","doi":"10.1109/IITC.2003.1219728","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219728","url":null,"abstract":"This paper describes a systematic approach to the use of electrical measurements for interconnect modeling and process monitoring. A fast and area efficient technique to measure interconnect capacitance in a scribe line is discussed. The benefits of this technique in monitoring interconnect process, and in fanning out technology to multiple fabs, in monitoring wafer-to-wafer/lot-to-lot variations and in accurate modeling of capacitance are illustrated using the results from 130 nm copper technology.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130463773","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219764
T. Tatsumi, K. Nagahata, T. Saitoh, Y. Morita
We propose a mechanism and a prediction model for SiOCH etching. The surface reaction depends on both incident fluxes from plasma and material properties (film composition and density). The sensitivity of etch rate to the change in incident CF/sub x/ fluxes induces a narrow process window for the etching of SiOCH and porous SiOCH materials. Further cooperation between etching and other process engineers needs to be promoted to create a more reliable process module.
{"title":"SiOCH low-k etching: quantitative prediction of surface reaction","authors":"T. Tatsumi, K. Nagahata, T. Saitoh, Y. Morita","doi":"10.1109/IITC.2003.1219764","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219764","url":null,"abstract":"We propose a mechanism and a prediction model for SiOCH etching. The surface reaction depends on both incident fluxes from plasma and material properties (film composition and density). The sensitivity of etch rate to the change in incident CF/sub x/ fluxes induces a narrow process window for the etching of SiOCH and porous SiOCH materials. Further cooperation between etching and other process engineers needs to be promoted to create a more reliable process module.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130712125","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219721
E. Guyer, R. Dauskardt
The success of next generation interconnects relies to a large degree on the integration of low-k dielectric (LKD) materials capable of surviving chemical mechanical planarization (CMP). However, little is currently understood about the effect CMP slurry environments have on the reliability of these advanced dielectrics. Accordingly, the focus of this research was to characterize and model the effect of CMP solution chemistry on adhesion and subcritical debond growth in thin-film structures containing LKD materials for future generation devices.
{"title":"Effect of CMP slurry environments on subcritical crack growth in ultra low-k dielectric materials","authors":"E. Guyer, R. Dauskardt","doi":"10.1109/IITC.2003.1219721","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219721","url":null,"abstract":"The success of next generation interconnects relies to a large degree on the integration of low-k dielectric (LKD) materials capable of surviving chemical mechanical planarization (CMP). However, little is currently understood about the effect CMP slurry environments have on the reliability of these advanced dielectrics. Accordingly, the focus of this research was to characterize and model the effect of CMP solution chemistry on adhesion and subcritical debond growth in thin-film structures containing LKD materials for future generation devices.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"50 3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134333529","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219741
P. Wang, N. Tien, E. Kan
In this work, we demonstrate: (1) permalloy can be incorporated with planar transmission lines using CMOS compatible process. Patterning the permalloy structures can extend its application well into the microwave frequency range, (2) the transmission line characteristics do not change under up to 50 mA current excitations, (3) the patterned permalloy reduces the magnetic coupling between two adjacent transmission lines by approximately 10 dB. The demonstrated operation frequency range, current drivability and magnetic field shielding property show that the lines can be used for high-speed interconnect applications in CMOS technologies.
{"title":"Permalloy loaded transmission line for high-speed interconnects","authors":"P. Wang, N. Tien, E. Kan","doi":"10.1109/IITC.2003.1219741","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219741","url":null,"abstract":"In this work, we demonstrate: (1) permalloy can be incorporated with planar transmission lines using CMOS compatible process. Patterning the permalloy structures can extend its application well into the microwave frequency range, (2) the transmission line characteristics do not change under up to 50 mA current excitations, (3) the patterned permalloy reduces the magnetic coupling between two adjacent transmission lines by approximately 10 dB. The demonstrated operation frequency range, current drivability and magnetic field shielding property show that the lines can be used for high-speed interconnect applications in CMOS technologies.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"84 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134540369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219736
E. Kondoh, V. Vezin, K. Shigama, S. Sunada, K. Kubo, T. Ohta
Metal thin films for IC metallization are currently deposited either from vapor (PVD/CVD) or liquid (e.g. ECD). This paper reports critical bases for full IC metallization using only supercritical carbon dioxide (scCO/sub 2/) fluids as a deposition medium. High-aspect-ratio filling capability, (111)-preferential growth, low temperature deposition possibility, important roles of solvent capability of scCO/sub 2/ including F-less solid precursor utilization, and barrier metal deposition possibility are described.
{"title":"Paving the way for full-fluid IC metallization using supercritical carbon dioxide","authors":"E. Kondoh, V. Vezin, K. Shigama, S. Sunada, K. Kubo, T. Ohta","doi":"10.1109/IITC.2003.1219736","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219736","url":null,"abstract":"Metal thin films for IC metallization are currently deposited either from vapor (PVD/CVD) or liquid (e.g. ECD). This paper reports critical bases for full IC metallization using only supercritical carbon dioxide (scCO/sub 2/) fluids as a deposition medium. High-aspect-ratio filling capability, (111)-preferential growth, low temperature deposition possibility, important roles of solvent capability of scCO/sub 2/ including F-less solid precursor utilization, and barrier metal deposition possibility are described.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"40 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132625763","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Pub Date : 2003-06-02DOI: 10.1109/IITC.2003.1219698
Y.L. Yang, L.P. Li, H. Ouyang, Y.C. Lu, H. Lu, C.H. Lin, K.C. Lin, S. Jang, M. Liang
A novel PECVD porous low-k material with k=2.5, LK(k=2.5), has been successfully integrated with Cu for 90 nm generation BEOL interconnect technology on 300 mm wafers. Fundamental film studies showed that this low-k material is thermally stable up to 400/spl deg/C and can be strongly adhered to various dielectric films. Electrical measurement results from the Cu/LK(k=2.5) damascene interconnect showed tight and 100%-yielded distributions in 0.12/0.12 /spl mu/m interline leakage, one million 0.13 /spl mu/m viachain via Rc and 0.12 /spl mu/m Cu line Rs. To maximize the Cu/LK(k=2.5) interconnect capacitance performance, no middle etch stop layer and no top CMP cap were used in the dielectric film stacking. The final k value of the LK(k=2.5) after integration was retained at 2.5 using an optimized PR ashing chemistry by comparing the Cu/LK(2.5) 0.12/0.12 /spl mu/m interline capacitance to a Cu/LK(3.0) one. The intrinsic BEOL time dependent dielectric breakdown (TDDB) lifetime, T/sub 63,/ of the Cu/LK(k=2.5) is predicted to be 4.56/spl times/10/sup 8/ yrs at 0.3 MV/cm and 125/spl deg/C. Further reliability evaluations of the Cu/LK(k=2.5) in electromigration (EM) and stress migration (SM) showed that its predicted T/sub 0.1/ EM lifetimes for 0.12 /spl mu/m Cu line or 0.13 /spl mu/m via at 1 MA/cm2 and 110/spl deg/C are 152k hrs or 144k hrs, and its SM failure rate (>10% shift in Rc) is zero after 500hr annealing at 175/spl deg/C. Finally, the packaging feasibility of this Cu/LK(k=2.5) damascene interconnect was also demonstrated using current wire bonding technologies.
{"title":"Fundamental, integration, and reliability of the 90 nm generation Cu/LK(k=2.5) damascene using a novel PECVD porous low-k dielectric film","authors":"Y.L. Yang, L.P. Li, H. Ouyang, Y.C. Lu, H. Lu, C.H. Lin, K.C. Lin, S. Jang, M. Liang","doi":"10.1109/IITC.2003.1219698","DOIUrl":"https://doi.org/10.1109/IITC.2003.1219698","url":null,"abstract":"A novel PECVD porous low-k material with k=2.5, LK(k=2.5), has been successfully integrated with Cu for 90 nm generation BEOL interconnect technology on 300 mm wafers. Fundamental film studies showed that this low-k material is thermally stable up to 400/spl deg/C and can be strongly adhered to various dielectric films. Electrical measurement results from the Cu/LK(k=2.5) damascene interconnect showed tight and 100%-yielded distributions in 0.12/0.12 /spl mu/m interline leakage, one million 0.13 /spl mu/m viachain via Rc and 0.12 /spl mu/m Cu line Rs. To maximize the Cu/LK(k=2.5) interconnect capacitance performance, no middle etch stop layer and no top CMP cap were used in the dielectric film stacking. The final k value of the LK(k=2.5) after integration was retained at 2.5 using an optimized PR ashing chemistry by comparing the Cu/LK(2.5) 0.12/0.12 /spl mu/m interline capacitance to a Cu/LK(3.0) one. The intrinsic BEOL time dependent dielectric breakdown (TDDB) lifetime, T/sub 63,/ of the Cu/LK(k=2.5) is predicted to be 4.56/spl times/10/sup 8/ yrs at 0.3 MV/cm and 125/spl deg/C. Further reliability evaluations of the Cu/LK(k=2.5) in electromigration (EM) and stress migration (SM) showed that its predicted T/sub 0.1/ EM lifetimes for 0.12 /spl mu/m Cu line or 0.13 /spl mu/m via at 1 MA/cm2 and 110/spl deg/C are 152k hrs or 144k hrs, and its SM failure rate (>10% shift in Rc) is zero after 500hr annealing at 175/spl deg/C. Finally, the packaging feasibility of this Cu/LK(k=2.5) damascene interconnect was also demonstrated using current wire bonding technologies.","PeriodicalId":212619,"journal":{"name":"Proceedings of the IEEE 2003 International Interconnect Technology Conference (Cat. No.03TH8695)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2003-06-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130924530","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}