首页 > 最新文献

16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)最新文献

英文 中文
Rethinking thermal via planning with timing-power-temperature dependence for 3D ICs 基于时间-功率-温度依赖性的三维集成电路热规划的反思
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722195
Kan Wang, Yuchun Ma, Sheqin Dong, Yu Wang, Xianlong Hong, J. Cong
Due to the increased power density and lower thermal conductivity, 3D is faced with heat dissipation and temperature problem seriously. Previous researches show that leakage power and delay are both relevant to temperature. The timing-power-temperature dependence will potentially negate the performance improvement of 3D designs. TSV (Through-Silicon-Vias) has been shown as an effective way to help heat removal, but they create routing congestions. Therefore, how to reach the trade-off between temperature, via number and delay is required to be solved. Different from previous works on TSV planning which ignored the effects of leakage power, in this paper, we integrate temperature-leakage-timing dependence into thermal via planning of 3D ICs. A weighted via insertion approach, considering both performance and heat dissipation with resource constraint, is proposed to achieve the best balance among delay, via number and temperature. Experiment results show that, with leakage power and resource constraint considered the temperature and via number required can be quite different, and weighted TSV insertion approach can improve thermal via number, by about 5.6%.
由于功率密度的增加和导热系数的降低,3D技术面临着严重的散热和温度问题。以往的研究表明,泄漏功率和延迟都与温度有关。时间-功率-温度依赖关系将潜在地否定3D设计的性能改进。TSV (Through-Silicon-Vias)已被证明是一种有效的散热方法,但它们会造成线路堵塞。因此,如何在温度、通孔数和时延之间达到平衡是需要解决的问题。不同于以往的TSV规划忽略了泄漏功率的影响,本文通过对3D集成电路的规划,将温度泄漏时序的依赖关系纳入热分析。提出了一种在资源约束下兼顾性能和散热的加权插卡方法,以达到时延、插卡数和温度之间的最佳平衡。实验结果表明,在考虑泄漏功率和资源约束的情况下,所需的温度和通孔数可能会有较大差异,加权TSV插入方法可以提高热通孔数,约为5.6%。
{"title":"Rethinking thermal via planning with timing-power-temperature dependence for 3D ICs","authors":"Kan Wang, Yuchun Ma, Sheqin Dong, Yu Wang, Xianlong Hong, J. Cong","doi":"10.1109/ASPDAC.2011.5722195","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722195","url":null,"abstract":"Due to the increased power density and lower thermal conductivity, 3D is faced with heat dissipation and temperature problem seriously. Previous researches show that leakage power and delay are both relevant to temperature. The timing-power-temperature dependence will potentially negate the performance improvement of 3D designs. TSV (Through-Silicon-Vias) has been shown as an effective way to help heat removal, but they create routing congestions. Therefore, how to reach the trade-off between temperature, via number and delay is required to be solved. Different from previous works on TSV planning which ignored the effects of leakage power, in this paper, we integrate temperature-leakage-timing dependence into thermal via planning of 3D ICs. A weighted via insertion approach, considering both performance and heat dissipation with resource constraint, is proposed to achieve the best balance among delay, via number and temperature. Experiment results show that, with leakage power and resource constraint considered the temperature and via number required can be quite different, and weighted TSV insertion approach can improve thermal via number, by about 5.6%.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125172699","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A fully integrated shock wave transmitter with an on-chip dipole antenna for pulse beam-formability in 0.18-μm CMOS 具有片上偶极子天线的完全集成的冲击波发射器,用于0.18 μm CMOS的脉冲波束成形性
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722161
N. Khanh, M. Sasaki, K. Asada
This paper presents a fully integrated 9–11-GHz shock wave transmitter with an on-chip antenna and a digitally programmable delay circuit (DPDC) for pulse beam-formability in short-range microwave active imaging applications. The resitorless shock wave generator (SWG) produces a 0.4-V peak-to-peak (p-p) shock wave output in HSPICE simulation. The DPDC is designed to adjust delays of shock-wave outputs for the beam-forming purpose. SWG's output is sent to an integrated meandering dipole antenna through an on-chip transformer. The measured return loss, S11, of a stand-alone integrated meandering dipole is from −26 dB to −10 dB with frequency range of 7.5–12 GHz. A 1.1-mV(p-p) shock wave output is received by a 20-dB standard gain horn antenna located at a 38-mm distance from the chip. Frequency response and delay resolution of the measured shock wave output are 9–11-GHz and 3-ps, respectively. These characteristics are suitable for fully integrated pulse beam-forming array antenna system.
本文提出了一种具有片上天线和数字可编程延迟电路(DPDC)的全集成9 - 11 ghz冲击波发射机,用于近距离微波有源成像应用中的脉冲波束形成能力。在HSPICE模拟中,无电阻激波发生器(SWG)产生0.4 v的峰对峰(p-p)激波输出。DPDC设计用于调整冲击波输出的延迟,以达到波束形成的目的。SWG的输出通过片上变压器发送到集成的弯曲偶极子天线。在7.5 ~ 12 GHz频率范围内,测量到的独立集成弯曲偶极子的回波损耗S11在−26 ~−10 dB之间。距离芯片38mm的20 db标准增益喇叭天线接收1.1 mv (p-p)冲击波输出。所测冲击波输出的频率响应和延迟分辨率分别为9 - 11 ghz和3-ps。这些特性适用于全集成脉冲波束形成阵列天线系统。
{"title":"A fully integrated shock wave transmitter with an on-chip dipole antenna for pulse beam-formability in 0.18-μm CMOS","authors":"N. Khanh, M. Sasaki, K. Asada","doi":"10.1109/ASPDAC.2011.5722161","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722161","url":null,"abstract":"This paper presents a fully integrated 9–11-GHz shock wave transmitter with an on-chip antenna and a digitally programmable delay circuit (DPDC) for pulse beam-formability in short-range microwave active imaging applications. The resitorless shock wave generator (SWG) produces a 0.4-V peak-to-peak (p-p) shock wave output in HSPICE simulation. The DPDC is designed to adjust delays of shock-wave outputs for the beam-forming purpose. SWG's output is sent to an integrated meandering dipole antenna through an on-chip transformer. The measured return loss, S11, of a stand-alone integrated meandering dipole is from −26 dB to −10 dB with frequency range of 7.5–12 GHz. A 1.1-mV(p-p) shock wave output is received by a 20-dB standard gain horn antenna located at a 38-mm distance from the chip. Frequency response and delay resolution of the measured shock wave output are 9–11-GHz and 3-ps, respectively. These characteristics are suitable for fully integrated pulse beam-forming array antenna system.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"44 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128270731","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
On the impact of gate oxide degradation on SRAM dynamic and static write-ability 栅极氧化物降解对SRAM动态和静态可写性的影响
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722278
V. Chandra, R. Aitken
Low voltage operation of SRAM arrays is critical in reducing the power consumption of embedded microprocessors. The minimum voltage of operation, Vmin, can be limited by any combination of write failure, read disturb failure, access failure and/or retention failure. Of these, the write failure is often observed as the major Vmin limiter in sub-50nm processes. In addition, the current generation transistors have high-k metal gate (HKMG) and these are prone to degradation due to higher level of electric field stress. The degradation increases Vmin due to increase in dynamic write failures and eventually, static write failures as the supply voltage decreases. We show that there exists a critical breakdown resistance (Rcrit) for a given supply voltage at which the SRAM write failure transitions from being dynamically limited to statically limited. For a 32nm low-power SRAM, the value of Rcrit increases by ∼9X as the supply voltage reduces from 1V to 0.7V. Further, we show that the commonly used SRAM write-assist (WA) techniques do not lower Rcrit and can only improve the write-ability when the breakdown resistance, Rsbd, is larger than Rcrit.
SRAM阵列的低电压运行是降低嵌入式微处理器功耗的关键。操作的最小电压Vmin可以被写故障、读干扰故障、访问故障和/或保留故障的任何组合所限制。其中,在50nm以下的工艺中,写入失败通常是主要的Vmin限制因素。此外,当前一代晶体管具有高k金属栅极(HKMG),由于较高的电场应力水平,这些栅极容易退化。由于动态写失败的增加,以及随着电源电压的降低,静态写失败的增加,导致Vmin的降低。我们表明,在给定的电源电压下,存在一个临界击穿电阻(Rcrit),在这个电压下,SRAM写故障从动态限制转变为静态限制。对于32nm低功耗SRAM,当电源电压从1V降低到0.7V时,Rcrit值增加了约9X。此外,我们发现常用的SRAM写辅助(WA)技术并不能降低Rcrit,只有当击穿电阻Rsbd大于Rcrit时才能提高写能力。
{"title":"On the impact of gate oxide degradation on SRAM dynamic and static write-ability","authors":"V. Chandra, R. Aitken","doi":"10.1109/ASPDAC.2011.5722278","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722278","url":null,"abstract":"Low voltage operation of SRAM arrays is critical in reducing the power consumption of embedded microprocessors. The minimum voltage of operation, Vmin, can be limited by any combination of write failure, read disturb failure, access failure and/or retention failure. Of these, the write failure is often observed as the major Vmin limiter in sub-50nm processes. In addition, the current generation transistors have high-k metal gate (HKMG) and these are prone to degradation due to higher level of electric field stress. The degradation increases Vmin due to increase in dynamic write failures and eventually, static write failures as the supply voltage decreases. We show that there exists a critical breakdown resistance (Rcrit) for a given supply voltage at which the SRAM write failure transitions from being dynamically limited to statically limited. For a 32nm low-power SRAM, the value of Rcrit increases by ∼9X as the supply voltage reduces from 1V to 0.7V. Further, we show that the commonly used SRAM write-assist (WA) techniques do not lower Rcrit and can only improve the write-ability when the breakdown resistance, Rsbd, is larger than Rcrit.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131268091","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems 基于片上网络的多核系统中顺序和弱内存一致性模型的实现及性能比较
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722176
Abdul Naeem, Xiaowen Chen, Zhonghai Lu, A. Jantsch
This paper studies realization and performance comparison of the sequential and weak consistency models in the network-on-chip (NoC) based distributed shared memory (DSM) multi-core systems. Memory consistency constrains the order of shared memory operations for the expected behavior of the multi-core systems. Both the consistency models are realized in the NoC based multi-core systems. The performance of the two consistency models are compared for various sizes of networks using regular mesh topologies and deflection routing algorithm. The results show that the weak consistency improves the performance by 46.17% and 33.76% on average in the code and consistency latencies over the sequential consistency model, due to relaxation in the program order, as the system grows from single core to 64 cores.
研究了顺序一致性模型和弱一致性模型在基于片上网络(NoC)的分布式共享内存(DSM)多核系统中的实现和性能比较。内存一致性约束了共享内存操作的顺序,以实现多核系统的预期行为。这两种一致性模型都在基于NoC的多核系统中得以实现。在使用规则网格拓扑和偏转路由算法的不同网络规模下,比较了两种一致性模型的性能。结果表明,当系统从单核扩展到64核时,由于程序顺序的放松,弱一致性模型在代码和一致性延迟方面的性能比顺序一致性模型平均提高了46.17%和33.76%。
{"title":"Realization and performance comparison of sequential and weak memory consistency models in network-on-chip based multi-core systems","authors":"Abdul Naeem, Xiaowen Chen, Zhonghai Lu, A. Jantsch","doi":"10.1109/ASPDAC.2011.5722176","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722176","url":null,"abstract":"This paper studies realization and performance comparison of the sequential and weak consistency models in the network-on-chip (NoC) based distributed shared memory (DSM) multi-core systems. Memory consistency constrains the order of shared memory operations for the expected behavior of the multi-core systems. Both the consistency models are realized in the NoC based multi-core systems. The performance of the two consistency models are compared for various sizes of networks using regular mesh topologies and deflection routing algorithm. The results show that the weak consistency improves the performance by 46.17% and 33.76% on average in the code and consistency latencies over the sequential consistency model, due to relaxation in the program order, as the system grows from single core to 64 cores.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131331790","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Accounting for inherent circuit resilience and process variations in analyzing gate oxide reliability 在栅极氧化物可靠性分析中考虑电路固有弹性和工艺变化
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722275
Jian-wei Fang, S. Sapatnekar
Gate oxide breakdown is a major cause of reliability failures in future nanometer-scale CMOS designs. This paper develops an analysis technique that can predict the probability of a functional failure in a large digital circuit due to this phenomenon. Novel features of the method include its ability to account for the inherent resilience in a circuit to a breakdown event, while simultaneously considering the impact of process variations. Based on standard process variation models, at a specified time instant, this procedure determines the circuit failure probability as a lognormal distribution. Experimental results demonstrate this approach is accurate compared with Monte Carlo simulation, and gives 4.7–5.9× better lifetime prediction over existing methods that are based on pessimistic area-scaling models.
栅极氧化物击穿是未来纳米级CMOS设计可靠性失效的主要原因。本文开发了一种分析技术,可以预测由于这种现象而导致的大型数字电路功能故障的概率。该方法的新特点包括它能够考虑电路对击穿事件的固有弹性,同时考虑工艺变化的影响。该程序基于标准过程变化模型,在指定的时间瞬间,确定电路失效概率为对数正态分布。实验结果表明,与蒙特卡罗模拟相比,该方法是准确的,并且比基于悲观面积缩放模型的现有方法的寿命预测提高4.7 - 5.9倍。
{"title":"Accounting for inherent circuit resilience and process variations in analyzing gate oxide reliability","authors":"Jian-wei Fang, S. Sapatnekar","doi":"10.1109/ASPDAC.2011.5722275","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722275","url":null,"abstract":"Gate oxide breakdown is a major cause of reliability failures in future nanometer-scale CMOS designs. This paper develops an analysis technique that can predict the probability of a functional failure in a large digital circuit due to this phenomenon. Novel features of the method include its ability to account for the inherent resilience in a circuit to a breakdown event, while simultaneously considering the impact of process variations. Based on standard process variation models, at a specified time instant, this procedure determines the circuit failure probability as a lognormal distribution. Experimental results demonstrate this approach is accurate compared with Monte Carlo simulation, and gives 4.7–5.9× better lifetime prediction over existing methods that are based on pessimistic area-scaling models.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132594310","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Cut-demand based routing resource allocation and consolidation for routability enhancement 基于减少需求的路由资源分配和可达性增强的整合
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722247
Fong-Yuan Chang, Sheng-Hsiung Chen, R. Tsay, Wai-Kei Mak
To successfully route a design, one essential requirement is to allocate sufficient routing resources. In this paper, we show that allocating routing resources based on horizontal and vertical (H/V) cut-demands can greatly improve routability especially for designs with thin areas. We then derive methods to predict the maximum H/V cut-demands and propose two cut-demand based approaches, one is to allocate routing resources considering the maximum H/V cut-demands and the other is to consolidate fragmented metal-1 routing resources for effective resource utilization. Experimental results demonstrate that the resource allocation method can precisely determine design areas and the resource consolidation method can significantly improve routability. With better routability, the routing time is about 5 times faster on average and the design area can be further reduced by 2–15%.
要成功地路由设计,一个基本要求是分配足够的路由资源。在本文中,我们证明了基于水平和垂直(H/V)切割需求分配路由资源可以大大提高路由可达性,特别是对于薄区域的设计。在此基础上,推导了最大H/V切割需求的预测方法,提出了两种基于切割需求的方法,一种是考虑最大H/V切割需求来分配路由资源,另一种是整合碎片化的金属-1路由资源,以实现资源的有效利用。实验结果表明,资源分配方法可以精确地确定设计区域,资源整合方法可以显著提高可达性。具有更好的可达性,路由时间平均快5倍左右,设计面积可进一步缩小2-15%。
{"title":"Cut-demand based routing resource allocation and consolidation for routability enhancement","authors":"Fong-Yuan Chang, Sheng-Hsiung Chen, R. Tsay, Wai-Kei Mak","doi":"10.1109/ASPDAC.2011.5722247","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722247","url":null,"abstract":"To successfully route a design, one essential requirement is to allocate sufficient routing resources. In this paper, we show that allocating routing resources based on horizontal and vertical (H/V) cut-demands can greatly improve routability especially for designs with thin areas. We then derive methods to predict the maximum H/V cut-demands and propose two cut-demand based approaches, one is to allocate routing resources considering the maximum H/V cut-demands and the other is to consolidate fragmented metal-1 routing resources for effective resource utilization. Experimental results demonstrate that the resource allocation method can precisely determine design areas and the resource consolidation method can significantly improve routability. With better routability, the routing time is about 5 times faster on average and the design area can be further reduced by 2–15%.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130541050","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
SETmap: A soft error tolerant mapping algorithm for FPGA designs with low power SETmap:一种用于FPGA低功耗设计的软容错映射算法
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722219
Chi-Chen Peng, Chen Dong, Deming Chen
Field programmable gate arrays (FPGAs) are widely used in VLSI applications due to their flexibility to implement logical functions, fast total turn-around time and low none-recurring engineering cost. SRAM-based FPGAs are the most popular FPGAs in the market. However, as process technologies advance to nanometer-scale regime, the issue of reliability of devices becomes critical. Soft errors are increasingly becoming a reliability concern because of the shrinking process dimensions. In this paper we study the technology mapping problem for FPGA circuits to reduce the occurrence of soft errors under the chip performance constraint and power reduction. Compared to two power-optimization mapping algorithms, SVmap [17] and Emap [15] respectively, we reduce the soft error rate by 40.6% with a 2.22% power overhead and 48.0% with a 2.18% power overhead using 6-LUTs.
现场可编程门阵列(fpga)由于其实现逻辑功能的灵活性、快速的总周转时间和较低的非重复性工程成本而广泛应用于VLSI应用中。基于sram的fpga是市场上最流行的fpga。然而,随着工艺技术发展到纳米级,器件的可靠性问题变得至关重要。由于工艺尺寸的不断缩小,软误差日益成为可靠性问题。在芯片性能约束和功耗降低的前提下,研究FPGA电路的技术映射问题,以减少软误差的发生。与两种功率优化映射算法SVmap[17]和Emap[15]相比,我们使用6- lut将软错误率降低了40.6%,功耗开销为2.22%,而使用6- lut将软错误率降低了48.0%,功耗开销为2.18%。
{"title":"SETmap: A soft error tolerant mapping algorithm for FPGA designs with low power","authors":"Chi-Chen Peng, Chen Dong, Deming Chen","doi":"10.1109/ASPDAC.2011.5722219","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722219","url":null,"abstract":"Field programmable gate arrays (FPGAs) are widely used in VLSI applications due to their flexibility to implement logical functions, fast total turn-around time and low none-recurring engineering cost. SRAM-based FPGAs are the most popular FPGAs in the market. However, as process technologies advance to nanometer-scale regime, the issue of reliability of devices becomes critical. Soft errors are increasingly becoming a reliability concern because of the shrinking process dimensions. In this paper we study the technology mapping problem for FPGA circuits to reduce the occurrence of soft errors under the chip performance constraint and power reduction. Compared to two power-optimization mapping algorithms, SVmap [17] and Emap [15] respectively, we reduce the soft error rate by 40.6% with a 2.22% power overhead and 48.0% with a 2.18% power overhead using 6-LUTs.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"59 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115035120","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC 用于移动SoC和3D-IC的嵌入式存储器和电阻性RAM (RRAM)的电路设计挑战
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722184
Meng-Fan Chang, P. Chiu, S. Sheu
Mobile systems require high-performance and low-power SoC or 3D-IC chips to perform complex operations, ensure a small form-factor and ensure a long battery life time. A low supply voltage (VDD) is frequently utilized to suppress dynamic power consumption, standby current, and thermal effects in SoC and 3D-IC. Furthermore, lowering the VDD reduces the voltage stress of the devices and slows the aging of chips. However, a low VDD for embedded memories can cause functional failure and low yield. This paper reviews various challenges in the design of low-voltage circuits for embedded memory (SRAM and ROM). It also discusses emerging embedded memory solutions. Alternative memory interfaces and architectures for mobile SoC and 3D-IC are also explored.
移动系统需要高性能和低功耗的SoC或3D-IC芯片来执行复杂的操作,确保小尺寸并确保长电池寿命。在SoC和3D-IC中,经常使用低电源电压(VDD)来抑制动态功耗、待机电流和热效应。此外,降低VDD可以减少器件的电压应力,减缓芯片的老化。然而,嵌入式存储器的低VDD会导致功能故障和低成品率。本文综述了嵌入式存储器(SRAM和ROM)低压电路设计中的各种挑战。它还讨论了新兴的嵌入式内存解决方案。还探讨了移动SoC和3D-IC的替代存储器接口和架构。
{"title":"Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC","authors":"Meng-Fan Chang, P. Chiu, S. Sheu","doi":"10.1109/ASPDAC.2011.5722184","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722184","url":null,"abstract":"Mobile systems require high-performance and low-power SoC or 3D-IC chips to perform complex operations, ensure a small form-factor and ensure a long battery life time. A low supply voltage (VDD) is frequently utilized to suppress dynamic power consumption, standby current, and thermal effects in SoC and 3D-IC. Furthermore, lowering the VDD reduces the voltage stress of the devices and slows the aging of chips. However, a low VDD for embedded memories can cause functional failure and low yield. This paper reviews various challenges in the design of low-voltage circuits for embedded memory (SRAM and ROM). It also discusses emerging embedded memory solutions. Alternative memory interfaces and architectures for mobile SoC and 3D-IC are also explored.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"16 3","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120914633","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Throughput optimization for latency-insensitive system with minimal queue insertion 最小队列插入下延迟不敏感系统的吞吐量优化
Pub Date : 2011-01-25 DOI: 10.1109/ASPDAC.2011.5722257
Juinn-Dar Huang, Yi-Hang Chen, Ya-Chien Ho
As fabrication process exploits even deeper submicron technology, global interconnect delay is becoming one of the most critical performance obstacles in system-on-chip (SoC) designs nowadays. Recent years latency-insensitive system (LIS), which enables multicycle communication to tolerate variant interconnect delay without substantially modifying pre-designed IP cores, has been proposed to conquer this issue. However, imbalanced interconnect latency and communication back-pressure residing in an LIS still degrade system throughput. In this paper, we present a throughput optimization technique with minimal queue insertion. We first model a given LIS as a quantitative graph (QG), which can be further compacted using the proposed techniques, so that much bigger problems can be handled. On top of QG, the optimal solution with minimal queue size can be achieved through integer linear programming based on the proposed constraint formulation in an acceptable runtime. The experimental results show that our approach can deal with moderately large systems in a reasonable runtime and save about 28% of queues compared to the prior art.
随着制造工艺利用更深的亚微米技术,全局互连延迟成为当今系统级芯片(SoC)设计中最关键的性能障碍之一。为了解决这个问题,近年来提出了延迟不敏感系统(LIS),它使多周期通信能够容忍不同的互连延迟,而无需大量修改预先设计的IP核。然而,不平衡的互连延迟和通信背压存在于LIS中仍然会降低系统吞吐量。本文提出了一种最小队列插入的吞吐量优化技术。我们首先将给定的LIS建模为定量图(QG),可以使用所提出的技术进一步压缩,从而可以处理更大的问题。在QG的基础上,在可接受的运行时内,通过基于所提出的约束公式的整数线性规划,可以获得具有最小队列大小的最优解。实验结果表明,我们的方法可以在合理的运行时间内处理中等规模的系统,与现有技术相比,可以节省约28%的队列。
{"title":"Throughput optimization for latency-insensitive system with minimal queue insertion","authors":"Juinn-Dar Huang, Yi-Hang Chen, Ya-Chien Ho","doi":"10.1109/ASPDAC.2011.5722257","DOIUrl":"https://doi.org/10.1109/ASPDAC.2011.5722257","url":null,"abstract":"As fabrication process exploits even deeper submicron technology, global interconnect delay is becoming one of the most critical performance obstacles in system-on-chip (SoC) designs nowadays. Recent years latency-insensitive system (LIS), which enables multicycle communication to tolerate variant interconnect delay without substantially modifying pre-designed IP cores, has been proposed to conquer this issue. However, imbalanced interconnect latency and communication back-pressure residing in an LIS still degrade system throughput. In this paper, we present a throughput optimization technique with minimal queue insertion. We first model a given LIS as a quantitative graph (QG), which can be further compacted using the proposed techniques, so that much bigger problems can be handled. On top of QG, the optimal solution with minimal queue size can be achieved through integer linear programming based on the proposed constraint formulation in an acceptable runtime. The experimental results show that our approach can deal with moderately large systems in a reasonable runtime and save about 28% of queues compared to the prior art.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"76 10","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120923062","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
An RTL-to-GDS2 design methodology for advanced system LSI 高级系统LSI的RTL-to-GDS2设计方法
Pub Date : 2011-01-25 DOI: 10.5555/1950815.1950962
N. Nishiguchi
STARC is developing an RTL-to-GDS2 design methodology for 32nm (and 28nm) system LSIs called STARCAD-CEL. The design methodology focuses on four key areas: low power design, variation aware design and design for manufacturability as well as design productivity. This paper examines several techniques we used to solve issues the in design of challenging, leading edge devices. It also describes the effectiveness of the STARCAD-CEL design methodology when applied to the four key areas.
STARC正在开发一种用于32nm(和28nm)系统lsi的RTL-to-GDS2设计方法,称为STARCAD-CEL。设计方法侧重于四个关键领域:低功耗设计、变化感知设计和可制造性设计以及设计生产力。本文考察了我们用于解决具有挑战性的前沿设备设计问题的几种技术。它还描述了STARCAD-CEL设计方法在应用于四个关键领域时的有效性。
{"title":"An RTL-to-GDS2 design methodology for advanced system LSI","authors":"N. Nishiguchi","doi":"10.5555/1950815.1950962","DOIUrl":"https://doi.org/10.5555/1950815.1950962","url":null,"abstract":"STARC is developing an RTL-to-GDS2 design methodology for 32nm (and 28nm) system LSIs called STARCAD-CEL. The design methodology focuses on four key areas: low power design, variation aware design and design for manufacturability as well as design productivity. This paper examines several techniques we used to solve issues the in design of challenging, leading edge devices. It also describes the effectiveness of the STARCAD-CEL design methodology when applied to the four key areas.","PeriodicalId":316253,"journal":{"name":"16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)","volume":"144 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2011-01-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116599334","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1