首页 > 最新文献

Micro and Nano Engineering最新文献

英文 中文
Ru/Ta bilayer approach to EUV mask absorbers: Experimental patterning and simulated imaging perspective Ru/Ta双分子层方法用于EUV掩膜吸收:实验模式和模拟成像视角
Q2 Engineering Pub Date : 2023-09-01 DOI: 10.1016/j.mne.2023.100223
Devesh Thakare , Jean-François de Marneffe , Annelies Delabie , Vicky Philipsen

The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography. Imaging metrics, including Normalized Image Log Slope (NILS), Telecentricity Error (TCE), and Best Focus Variation (BFV) through pitch deteriorate because of Mask 3-Dimensional (M3D) effects in EUV lithography, which limits the production efficiency. Alternative absorbers, including alloys of Ru and Ta, are anticipated to reduce some of the M3D effects; however, patterning these materials is challenging due to their low etch rates and poor etch selectivity against the Ru mask capping layer. Therefore, we propose a Ru/Ta bilayer approach to EUV mask absorbers and investigate it from a patterning and imaging standpoint. The top Ru layer thickness is calculated using the thin film interference phenomena, and we determine the bottom Ta layer that can produce improved NILS by utilizing the total absorber thickness optimization methodology. We demonstrate the patterning of the Ru/Ta bilayer using a two-step etch; the top Ru layer is patterned with Cl2-O2 Reactive Ion Etch (RIE), and the bottom Ta layer with Cl2-N2 RIE. The geometry and morphology of the patterned bilayer stack are investigated using TEM (Transmission Electron Microscopy), and interdiffusion at the interface of Ru and Ta is studied using EDS-STEM (Energy Dispersive X-ray Spectroscopy-Scanning Transmission Electron Microscopy). The non-ideal traits of the Ru/Ta bilayer stack, determined by experimental characterization techniques, are used to simulate the imaging performance and then compared against an ideal Ru/Ta bilayer stack, along with the reference Ta-based absorber. Even when non-idealities are considered, the simulation findings demonstrate that the Ru/Ta bilayer absorber exhibits improved NILS and reduced BFV compared to the Ta-based absorber. The outcomes encourage further research into the possibilities of multilayer absorbers, to tailor their optical characteristics by varying the thickness of individual layers.

EUV掩模吸收体的光学性质和几何形状在决定EUV光刻中掩模的成像性能方面起着至关重要的作用。由于EUV光刻中的掩模三维(M3D)效应,包括归一化图像对数斜率(NILS)、远心误差(TCE)和通过间距的最佳聚焦变化(BFV)在内的成像指标恶化,这限制了生产效率。替代吸收剂,包括Ru和Ta的合金,预计将减少一些M3D效应;然而,图案化这些材料是具有挑战性的,因为它们的蚀刻速率低并且对Ru掩模覆盖层的蚀刻选择性差。因此,我们提出了一种用于EUV掩模吸收体的Ru/Ta双层方法,并从图案化和成像的角度对其进行了研究。利用薄膜干涉现象计算了顶部Ru层的厚度,并利用总吸收层厚度优化方法确定了可以产生改进NILS的底部Ta层。我们展示了使用两步蚀刻来图案化Ru/Ta双层;顶部Ru层用Cl2-O2反应离子蚀刻(RIE)图案化并且底部Ta层用Cl1-N2 RIE图案化。使用TEM(透射电子显微镜)研究了图案化双层堆叠的几何结构和形态,并使用EDS-STEM(能量分散X射线光谱扫描透射电子显微镜(Energy Dispersive X-ray Spectroscopy Scanning Transmission Electron Microscopy))研究了Ru和Ta界面处的相互扩散。通过实验表征技术确定的Ru/Ta双层堆叠的非理想特性用于模拟成像性能,然后与理想Ru/Ta单层堆叠以及参考Ta基吸收体进行比较。即使考虑了非理想性,模拟结果也表明,与Ta基吸收体相比,Ru/Ta双层吸收体表现出改进的NILS和降低的BFV。这些结果鼓励进一步研究多层吸收体的可能性,通过改变单个层的厚度来调整其光学特性。
{"title":"Ru/Ta bilayer approach to EUV mask absorbers: Experimental patterning and simulated imaging perspective","authors":"Devesh Thakare ,&nbsp;Jean-François de Marneffe ,&nbsp;Annelies Delabie ,&nbsp;Vicky Philipsen","doi":"10.1016/j.mne.2023.100223","DOIUrl":"10.1016/j.mne.2023.100223","url":null,"abstract":"<div><p>The optical properties and geometry of EUV mask absorbers play an essential role in determining the imaging performance of a mask in EUV lithography. Imaging metrics, including Normalized Image Log Slope (NILS), Telecentricity Error (TCE), and Best Focus Variation (BFV) through pitch deteriorate because of Mask 3-Dimensional (M3D) effects in EUV lithography, which limits the production efficiency. Alternative absorbers, including alloys of Ru and Ta, are anticipated to reduce some of the M3D effects; however, patterning these materials is challenging due to their low etch rates and poor etch selectivity against the Ru mask capping layer. Therefore, we propose a Ru/Ta bilayer approach to EUV mask absorbers and investigate it from a patterning and imaging standpoint. The top Ru layer thickness is calculated using the thin film interference phenomena, and we determine the bottom Ta layer that can produce improved NILS by utilizing the total absorber thickness optimization methodology. We demonstrate the patterning of the Ru/Ta bilayer using a two-step etch; the top Ru layer is patterned with Cl<sub>2</sub>-O<sub>2</sub> Reactive Ion Etch (RIE), and the bottom Ta layer with Cl<sub>2</sub>-N<sub>2</sub> RIE. The geometry and morphology of the patterned bilayer stack are investigated using TEM (Transmission Electron Microscopy), and interdiffusion at the interface of Ru and Ta is studied using EDS-STEM (Energy Dispersive X-ray Spectroscopy-Scanning Transmission Electron Microscopy). The non-ideal traits of the Ru/Ta bilayer stack, determined by experimental characterization techniques, are used to simulate the imaging performance and then compared against an ideal Ru/Ta bilayer stack, along with the reference Ta-based absorber. Even when non-idealities are considered, the simulation findings demonstrate that the Ru/Ta bilayer absorber exhibits improved NILS and reduced BFV compared to the Ta-based absorber. The outcomes encourage further research into the possibilities of multilayer absorbers, to tailor their optical characteristics by varying the thickness of individual layers.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"42384373","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
3D-printed microfluidic system for the in situ diagnostics and screening of nanoparticles synthesis parameters 3d打印微流控系统用于纳米颗粒合成参数的原位诊断和筛选
Q2 Engineering Pub Date : 2023-09-01 DOI: 10.1016/j.mne.2023.100224
V.V. Shapovalov , S.V. Chapek , A.A. Tereshchenko , A.N. Bulgakov , A.P. Bagliy , V.V. Volkov , P.V. Konarev , M.A. Soldatov , S.A. Soldatov , A.A. Guda , A.V. Soldatov

Fine tuning of the material properties requires many trials and errors during the synthesis. The metal nanoparticles undergo several stages of reduction, clustering, coalescence and growth upon their formation. Resulting properties of the colloidal solution thus depend on the concentrations of the reagents, external temperature, synthesis protocol and qualification of the researcher determines the reproducibility and quality. Automatized flow systems overcome the difficulties inherent for the conventional batch approaches. Microfluidic systems represent a good alternative for the high throughput data collection. The recent advances in 3D-printing made complex topologies in microfluidic devices cheaper and easily customizable. However, channels of the cured photopolymer resin attract metal ions upon synthesis and create crystallization centers. In our work we present 3D-printed system for the noble metal nanoparticle synthesis in slugs. Alternating flows of oil and aqueous reaction mixtures prevent metal deposition on the channel walls. Elongated droplets are convenient for optical and X-ray diagnostics using conventional methods. We demonstrate the work of the system using Ag nanoparticles synthesis for machine-learning assisted tuning of the plasmon resonance frequency.

材料特性的微调需要在合成过程中进行多次试验和误差。金属纳米颗粒在形成时经历还原、聚集、聚结和生长的几个阶段。因此,胶体溶液的最终性质取决于试剂的浓度、外部温度、合成方案和研究人员的资格决定了再现性和质量。自动化流动系统克服了传统分批方法固有的困难。微流体系统代表了高通量数据收集的一个很好的替代方案。3D打印的最新进展使微流体设备中的复杂拓扑结构更便宜且易于定制。然而,固化的光聚合物树脂的通道在合成时吸引金属离子并产生结晶中心。在我们的工作中,我们提出了在蛞蝓中合成贵金属纳米颗粒的3D打印系统。油和水性反应混合物的交替流动防止金属沉积在通道壁上。细长液滴便于使用传统方法进行光学和X射线诊断。我们展示了使用Ag纳米颗粒合成用于机器学习辅助调谐等离子体共振频率的系统的工作。
{"title":"3D-printed microfluidic system for the in situ diagnostics and screening of nanoparticles synthesis parameters","authors":"V.V. Shapovalov ,&nbsp;S.V. Chapek ,&nbsp;A.A. Tereshchenko ,&nbsp;A.N. Bulgakov ,&nbsp;A.P. Bagliy ,&nbsp;V.V. Volkov ,&nbsp;P.V. Konarev ,&nbsp;M.A. Soldatov ,&nbsp;S.A. Soldatov ,&nbsp;A.A. Guda ,&nbsp;A.V. Soldatov","doi":"10.1016/j.mne.2023.100224","DOIUrl":"10.1016/j.mne.2023.100224","url":null,"abstract":"<div><p>Fine tuning of the material properties requires many trials and errors during the synthesis. The metal nanoparticles undergo several stages of reduction, clustering, coalescence and growth upon their formation. Resulting properties of the colloidal solution thus depend on the concentrations of the reagents, external temperature, synthesis protocol and qualification of the researcher determines the reproducibility and quality. Automatized flow systems overcome the difficulties inherent for the conventional batch approaches. Microfluidic systems represent a good alternative for the high throughput data collection. The recent advances in 3D-printing made complex topologies in microfluidic devices cheaper and easily customizable. However, channels of the cured photopolymer resin attract metal ions upon synthesis and create crystallization centers. In our work we present 3D-printed system for the noble metal nanoparticle synthesis in slugs. Alternating flows of oil and aqueous reaction mixtures prevent metal deposition on the channel walls. Elongated droplets are convenient for optical and X-ray diagnostics using conventional methods. We demonstrate the work of the system using Ag nanoparticles synthesis for machine-learning assisted tuning of the plasmon resonance frequency.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"48778212","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Unidirectional frequency conversion of surface plasmon polaritons on metal nanowires 金属纳米线表面等离子激元的单向频率转换
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100193
Aurélie Broussier , Ali Issa , Loïc O. Le Cunff , Régis Deturche , Tien Hoa Nguyen , Dinh Xuan Quyen , Tao Xu , Sylvain Blaize , Safi Jradi , Christophe Couteau , Renaud Bachelot

Hybrid nanoplasmonics is one of the most promising branch of nanophotonics which aims, in particular, to control the energy transfer between donor and acceptor nano-emitters via surface plasmons. Recently, an approach of nano-emitters positioning was introduced. It is based on two-photon polymerization of a photosensitive material which contains quantum dots as nano-emitters. This technique allowed for the integration of green quantum dots on plasmonic silver nanowires. In this article, we report on the use of this approach for integrating both green and red quantum dots on silver nanowires. The coupling between nano-emitters and propagating surface plasmons that are supported by the silver nanowires is reported and observed through their scattering at the nanowire ends. For both colors, a parametric study of the distance between the quantum dots and the nanowire extremity shows that precise control of the position of the launching sites enables control of light intensity at the wire end, through surface plasmon propagation length. More interestingly, by integrating two kinds of quantum dots on the same nanowire, we realized an efficient donor-acceptor hybrid nano-system, where green surface plasmons polaritons (from donors) are transformed into red plasmons (from acceptors) at controlled sites of the plasmonic guides, as a result of a frequency conversion of the plasmons polaritons.

混合纳米等离子体是纳米光子学中最有前途的分支之一,其特别目的是通过表面等离子体控制供体和受体纳米发射体之间的能量转移。最近,介绍了一种纳米发射器定位方法。它是基于一种光敏材料的双光子聚合,该材料包含量子点作为纳米发射器。这种技术允许在等离子体银纳米线上集成绿色量子点。在这篇文章中,我们报道了这种方法在银纳米线上集成绿色和红色量子点的使用。报道了纳米发射体和由银纳米线支撑的传播表面等离子体之间的耦合,并通过它们在纳米线末端的散射进行了观察。对于这两种颜色,对量子点和纳米线末端之间距离的参数研究表明,对发射点位置的精确控制能够通过表面等离子体传播长度控制线末端的光强度。更有趣的是,通过在同一纳米线上集成两种量子点,我们实现了一种高效的施主-受主混合纳米系统,其中,由于等离子体激元的频率转换,绿色表面等离子体激元(来自施主)在等离子体激元引导的受控位置处转化为红色等离子体激元。
{"title":"Unidirectional frequency conversion of surface plasmon polaritons on metal nanowires","authors":"Aurélie Broussier ,&nbsp;Ali Issa ,&nbsp;Loïc O. Le Cunff ,&nbsp;Régis Deturche ,&nbsp;Tien Hoa Nguyen ,&nbsp;Dinh Xuan Quyen ,&nbsp;Tao Xu ,&nbsp;Sylvain Blaize ,&nbsp;Safi Jradi ,&nbsp;Christophe Couteau ,&nbsp;Renaud Bachelot","doi":"10.1016/j.mne.2023.100193","DOIUrl":"10.1016/j.mne.2023.100193","url":null,"abstract":"<div><p>Hybrid nanoplasmonics is one of the most promising branch of nanophotonics which aims, in particular, to control the energy transfer between donor and acceptor nano-emitters <em>via</em> surface plasmons. Recently, an approach of nano-emitters positioning was introduced. It is based on two-photon polymerization of a photosensitive material which contains quantum dots as nano-emitters. This technique allowed for the integration of green quantum dots on plasmonic silver nanowires. In this article, we report on the use of this approach for integrating both green and red quantum dots on silver nanowires. The coupling between nano-emitters and propagating surface plasmons that are supported by the silver nanowires is reported and observed through their scattering at the nanowire ends. For both colors, a parametric study of the distance between the quantum dots and the nanowire extremity shows that precise control of the position of the launching sites enables control of light intensity at the wire end, through surface plasmon propagation length. More interestingly, by integrating two kinds of quantum dots on the same nanowire, we realized an efficient donor-acceptor hybrid nano-system, where green surface plasmons polaritons (from donors) are transformed into red plasmons (from acceptors) at controlled sites of the plasmonic guides, as a result of a frequency conversion of the plasmons polaritons.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"42622791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Synthesis of plasmonic gold nanoparticles on soft materials for biomedical applications 生物医学用软材料上等离子体金纳米粒子的合成
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100207
Federica Granata , Noemi Pirillo , Alessandro Alabastri , Andrea Schirato , Luigi Bruno , Roberta Costa , Natalia Malara , Valentina Onesto , Maria Laura Coluccio , Mario Iodice , Giuseppe Coppola , Francesco Gentile

Plasmonic metal nanomaterials are usually supported by rigid substrates, typically made of silicon or glass. Recently, there has been growing interest in developing soft plasmonic devices. Such devices are low weight, low cost, exhibit elevated flexibility and improved mechanical properties. Moreover, they maintain the features of conventional nano-optic structures, such as the ability to enhance the local electromagnetic field. On account of these characteristics, they show promise as efficient biosensors in biological, medical, and bio-engineering applications. Here, we demonstrate the fabrication of soft polydimethylsiloxane (PDMS) plasmonic devices. Using a combination of techniques, including electroless deposition, we patterned thin membranes of PDMS with arrays of gold nanoparticle clusters. Resulting devices show regular patterns of gold nanoparticles extending over several hundreds of microns and are moderately hydrophilic, with a contact angle of about 80°. At the nanoscale, scanning electron and atomic force microscopy of samples reveal an average particle size of ∼50 nm. The nanoscopic size of the particles, along with their random distribution in a cluster, promotes the enhancement of electromagnetic fields, evidenced by numerical simulations and experiments. Mechanical characterization and the stress-strain relationship indicate that the device has a stiffness of 2.8 MPa. In biological immunoassay tests, the device correctly identified and detected anti-human immunoglobulins G (IgG) in solution with a concentration of 25 μg/ml.

等离子体金属纳米材料通常由刚性基底支撑,通常由硅或玻璃制成。最近,人们对开发软等离子体器件越来越感兴趣。这样的装置重量轻、成本低、表现出更高的灵活性和改进的机械性能。此外,它们保持了传统纳米光学结构的特征,例如增强局部电磁场的能力。由于这些特性,它们有望在生物、医学和生物工程应用中成为高效的生物传感器。在这里,我们展示了软性聚二甲基硅氧烷(PDMS)等离子体器件的制备。使用包括化学沉积在内的多种技术,我们将PDMS薄膜与金纳米颗粒簇阵列图案化。所得器件显示出延伸数百微米的金纳米颗粒的规则图案,并且具有适度的亲水性,接触角约为80°。在纳米尺度上,样品的扫描电子和原子力显微镜显示平均粒径为~50纳米。数值模拟和实验证明,粒子的纳米尺寸及其在团簇中的随机分布促进了电磁场的增强。机械特性和应力-应变关系表明,该装置具有2.8MPa的刚度。在生物免疫测定测试中,该装置正确识别并检测了浓度为25μG/ml的溶液中的抗人免疫球蛋白G(IgG)。
{"title":"Synthesis of plasmonic gold nanoparticles on soft materials for biomedical applications","authors":"Federica Granata ,&nbsp;Noemi Pirillo ,&nbsp;Alessandro Alabastri ,&nbsp;Andrea Schirato ,&nbsp;Luigi Bruno ,&nbsp;Roberta Costa ,&nbsp;Natalia Malara ,&nbsp;Valentina Onesto ,&nbsp;Maria Laura Coluccio ,&nbsp;Mario Iodice ,&nbsp;Giuseppe Coppola ,&nbsp;Francesco Gentile","doi":"10.1016/j.mne.2023.100207","DOIUrl":"10.1016/j.mne.2023.100207","url":null,"abstract":"<div><p>Plasmonic metal nanomaterials are usually supported by rigid substrates, typically made of silicon or glass. Recently, there has been growing interest in developing soft plasmonic devices. Such devices are low weight, low cost, exhibit elevated flexibility and improved mechanical properties. Moreover, they maintain the features of conventional nano-optic structures, such as the ability to enhance the local electromagnetic field. On account of these characteristics, they show promise as efficient biosensors in biological, medical, and bio-engineering applications. Here, we demonstrate the fabrication of soft polydimethylsiloxane (PDMS) plasmonic devices. Using a combination of techniques, including electroless deposition, we patterned thin membranes of PDMS with arrays of gold nanoparticle clusters. Resulting devices show regular patterns of gold nanoparticles extending over several hundreds of microns and are moderately hydrophilic, with a contact angle of about 80°. At the nanoscale, scanning electron and atomic force microscopy of samples reveal an average particle size of ∼50 nm. The nanoscopic size of the particles, along with their random distribution in a cluster, promotes the enhancement of electromagnetic fields, evidenced by numerical simulations and experiments. Mechanical characterization and the stress-strain relationship indicate that the device has a stiffness of 2.8 <em>MPa</em>. In biological immunoassay tests, the device correctly identified and detected anti-human immunoglobulins G (IgG) in solution with a concentration of 25 <em>μg</em>/<em>ml</em>.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"49029150","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel design for a microfluidic-based platform for yeast replicative lifespan (RLS) analysis 酵母复制寿命(RLS)分析微流体平台的新设计
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100199
Georgia D. Kaprou , Abhay Andar , Pranjul Shah , Carole L. Linster , Nicole Paczia

Microfluidic devices hold enormous potential for the development of cost-effective and faster alternatives to existing traditional methods across life science applications. Here we demonstrate the feasibility of fabricating a microfluidic device by means of photolithography comprising a single cell trap, a delay structure and a chamber defined by micropillars. This device is aimed to be used for biological applications such as replicative lifespan determination (RLS) of yeast cells, where single cell trapping, and cell counting are essential. The novelty of the present work lies on the integration of the above-mentioned microfluidic structures in a single device by means of the established method of photolithography by fine-tuning critical parameters needed to achieve the desired high aspect ratio (1:5) employing commercially available resins. The fine-tuning of the fabrication parameters in combination with appropriately selected resins allows for patterning reproducibly micron-sized features. The design of the proposed device ultimately aims at replacing the very cumbersome assays still commonly used today for RLS determination in budding yeast by a methodology that is drastically simpler and more time efficient.

在生命科学应用中,微流体设备在开发成本效益高、速度快的现有传统方法替代品方面具有巨大潜力。在这里,我们展示了通过光刻法制造微流体装置的可行性,该装置包括单细胞阱、延迟结构和由微柱限定的腔室。该设备旨在用于生物学应用,如酵母细胞的复制寿命测定(RLS),其中单细胞捕获和细胞计数至关重要。本工作的新颖性在于,通过使用市售树脂微调实现所需高纵横比(1:5)所需的关键参数,通过已建立的光刻方法,将上述微流体结构集成在单个装置中。制造参数的微调与适当选择的树脂相结合允许图案化可再现的微米大小的特征。所提出的装置的设计最终旨在用一种更简单、更省时的方法取代目前仍常用于芽酵母RLS测定的非常繁琐的测定方法。
{"title":"Novel design for a microfluidic-based platform for yeast replicative lifespan (RLS) analysis","authors":"Georgia D. Kaprou ,&nbsp;Abhay Andar ,&nbsp;Pranjul Shah ,&nbsp;Carole L. Linster ,&nbsp;Nicole Paczia","doi":"10.1016/j.mne.2023.100199","DOIUrl":"10.1016/j.mne.2023.100199","url":null,"abstract":"<div><p>Microfluidic devices hold enormous potential for the development of cost-effective and faster alternatives to existing traditional methods across life science applications. Here we demonstrate the feasibility of fabricating a microfluidic device by means of photolithography comprising a single cell trap, a delay structure and a chamber defined by micropillars. This device is aimed to be used for biological applications such as replicative lifespan determination (RLS) of yeast cells, where single cell trapping, and cell counting are essential. The novelty of the present work lies on the integration of the above-mentioned microfluidic structures in a single device by means of the established method of photolithography by fine-tuning critical parameters needed to achieve the desired high aspect ratio (1:5) employing commercially available resins. The fine-tuning of the fabrication parameters in combination with appropriately selected resins allows for patterning reproducibly micron-sized features. The design of the proposed device ultimately aims at replacing the very cumbersome assays still commonly used today for RLS determination in budding yeast by a methodology that is drastically simpler and more time efficient.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45432872","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Water-soluble bio-sourced resists for DUV lithography in a 200/300 mm pilot line environment 在200/300 mm中试线环境中用于DUV光刻的水溶性生物源抗蚀剂
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100202
Isabelle Servin , Alexandre Teolis , Arnaud Bazin , Paule Durin , Olha Sysova , Corinne Gablin , Benoît Saudet , Didier Leonard , Olivier Soppera , Jean-Louis Leclercq , Yann Chevolot , Raluca Tiron , Thierry Delair , Stéphane Trombotto

Water-based bio-sourced resists are promising candidates as alternatives for deep ultraviolet (DUV) lithography by replacing current photoresists issued from petro-chemistry for microelectronics application. Chitosan films produced from seafood industry wastes enable patterning processes free of organic solvent and alkali-based developers, by substitution with water. After demonstrating high-resolution patterning at lab-scale after transfer into silica 10 mm wafer, we investigate here the industrial pre-transfer chitosan-based photoresist on the 300 mm pilot line scale at CEA-Leti for 193 nm DUV lithography.

水基生物源抗蚀剂通过取代目前由石油化学公司发布的用于微电子应用的光致抗蚀剂,有望成为深紫外(DUV)光刻的替代品。利用海产品工业废料生产的壳聚糖薄膜,通过用水替代,可以在没有有机溶剂和碱基显影剂的情况下进行图案化处理。在展示了转移到二氧化硅10mm晶片中后在实验室规模下的高分辨率图案化之后,我们在这里研究了用于193nm DUV光刻的在CEA Leti的300mm导频线规模上的工业预转移壳聚糖基光致抗蚀剂。
{"title":"Water-soluble bio-sourced resists for DUV lithography in a 200/300 mm pilot line environment","authors":"Isabelle Servin ,&nbsp;Alexandre Teolis ,&nbsp;Arnaud Bazin ,&nbsp;Paule Durin ,&nbsp;Olha Sysova ,&nbsp;Corinne Gablin ,&nbsp;Benoît Saudet ,&nbsp;Didier Leonard ,&nbsp;Olivier Soppera ,&nbsp;Jean-Louis Leclercq ,&nbsp;Yann Chevolot ,&nbsp;Raluca Tiron ,&nbsp;Thierry Delair ,&nbsp;Stéphane Trombotto","doi":"10.1016/j.mne.2023.100202","DOIUrl":"10.1016/j.mne.2023.100202","url":null,"abstract":"<div><p>Water-based bio-sourced resists are promising candidates as alternatives for deep ultraviolet (DUV) lithography by replacing current photoresists issued from petro-chemistry for microelectronics application. Chitosan films produced from seafood industry wastes enable patterning processes free of organic solvent and alkali-based developers, by substitution with water. After demonstrating high-resolution patterning at lab-scale after transfer into silica 10 mm wafer, we investigate here the industrial pre-transfer chitosan-based photoresist on the 300 mm pilot line scale at CEA-Leti for 193 nm DUV lithography.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45922899","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Characterization of the dynamics of encapsulated silicon MEMS devices using low-coherence heterodyne LDV technology 利用低相干外差LDV技术表征封装硅MEMS器件的动态特性
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100191
Marco Wolfer, Moritz Giesen, Markus Heilig, Volker Seyfried, Marcus Winter

Microscope-based Laser Doppler vibrometers (LDV) are optical instruments using laser Doppler interferometry to measure the motion of vibrating structures. As laser vibrometers measure without contact, they are also widely used for the characterization of the vibrational dynamics of silicon based micro-electro-mechanical systems (MEMS). Because silicon is opaque for visible light, MEMS-devices must be prepared without encapsulation to enable vibration measurements with standard laser vibrometers. However, the encapsulation itself is a critical process step during MEMS fabrication, and the reopening of the encapsulation bears the risk of damaging the device or altering its characteristics. Due to the high refractive index of silicon, vibrometry using infrared light is compromised by the inevitable influence of interfering reflections from encapsulation and device boundaries on the measurement results.

A novel low-coherent measurement technique is presented allowing to effectively suppress spurious interferences. This way, highly accurate vibration measurements and thus reliable analysis of the device dynamics of encapsulated MEMS are possible.

基于显微镜的激光多普勒振动仪(LDV)是一种使用激光多普勒干涉测量振动结构运动的光学仪器。由于激光测振仪是在无接触的情况下测量的,因此它们也被广泛用于表征硅基微机电系统(MEMS)的振动动力学。由于硅对可见光是不透明的,因此必须在没有封装的情况下制备MEMS器件,以便使用标准激光测振仪进行振动测量。然而,封装本身是MEMS制造过程中的关键工艺步骤,重新打开封装有损坏器件或改变其特性的风险。由于硅的高折射率,使用红外光的振动测量受到来自封装和器件边界的干扰反射对测量结果的不可避免的影响。提出了一种新的低相干测量技术,可以有效地抑制杂散干扰。通过这种方式,可以进行高精度的振动测量,从而对封装MEMS的器件动力学进行可靠的分析。
{"title":"Characterization of the dynamics of encapsulated silicon MEMS devices using low-coherence heterodyne LDV technology","authors":"Marco Wolfer,&nbsp;Moritz Giesen,&nbsp;Markus Heilig,&nbsp;Volker Seyfried,&nbsp;Marcus Winter","doi":"10.1016/j.mne.2023.100191","DOIUrl":"10.1016/j.mne.2023.100191","url":null,"abstract":"<div><p>Microscope-based Laser Doppler vibrometers (LDV) are optical instruments using laser Doppler interferometry to measure the motion of vibrating structures. As laser vibrometers measure without contact, they are also widely used for the characterization of the vibrational dynamics of silicon based micro-electro-mechanical systems (MEMS). Because silicon is opaque for visible light, MEMS-devices must be prepared without encapsulation to enable vibration measurements with standard laser vibrometers. However, the encapsulation itself is a critical process step during MEMS fabrication, and the reopening of the encapsulation bears the risk of damaging the device or altering its characteristics. Due to the high refractive index of silicon, vibrometry using infrared light is compromised by the inevitable influence of interfering reflections from encapsulation and device boundaries on the measurement results.</p><p>A novel low-coherent measurement technique is presented allowing to effectively suppress spurious interferences. This way, highly accurate vibration measurements and thus reliable analysis of the device dynamics of encapsulated MEMS are possible.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"43995701","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Robust sub-100 nm T-Gate fabrication process using multi-step development 稳健的低于100 纳米 采用多步骤开发的T型门制造工艺
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100211
Kaivan Karami , Aniket Dhongde , Huihua Cheng , Paul M. Reynolds , Bojja Aditya Reddy , Daniel Ritter , Chong Li , Edward Wasige , Stephen Thoms

We demonstrate the fabrication of sub-100 nm T-Gate structures using a single electron beam lithography exposure and a tri-layer resist stack - PMMA/LOR/CSAR. Recent developments in modelling resist development were used to design the process, in which each resist is developed separately to optimise the resulting structure. By using a modelling approach and proximity correcting for the full resist stack, we were able to independently vary gate length (50-100 nm) and head size (250-500 nm) at the design stage and fabricate these T-Gates with high yield.

我们展示了使用单电子束光刻曝光和三层抗蚀剂堆叠PMMA/LOR/CSAR制造亚100nm T型栅极结构。抗蚀剂开发模型的最新发展被用于设计工艺,其中每个抗蚀剂都被单独开发,以优化最终的结构。通过对全抗蚀剂堆叠使用建模方法和接近校正,我们能够在设计阶段独立地改变栅极长度(50-100nm)和磁头尺寸(250-500nm),并以高产率制造这些T型门。
{"title":"Robust sub-100 nm T-Gate fabrication process using multi-step development","authors":"Kaivan Karami ,&nbsp;Aniket Dhongde ,&nbsp;Huihua Cheng ,&nbsp;Paul M. Reynolds ,&nbsp;Bojja Aditya Reddy ,&nbsp;Daniel Ritter ,&nbsp;Chong Li ,&nbsp;Edward Wasige ,&nbsp;Stephen Thoms","doi":"10.1016/j.mne.2023.100211","DOIUrl":"10.1016/j.mne.2023.100211","url":null,"abstract":"<div><p>We demonstrate the fabrication of sub-100 nm T-Gate structures using a single electron beam lithography exposure and a tri-layer resist stack - PMMA/LOR/CSAR. Recent developments in modelling resist development were used to design the process, in which each resist is developed separately to optimise the resulting structure. By using a modelling approach and proximity correcting for the full resist stack, we were able to independently vary gate length (50-100 nm) and head size (250-500 nm) at the design stage and fabricate these T-Gates with high yield.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"47180726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Self-patterned ultra-sharp diamond tips and their application for advanced nanoelectronics device characterization by electrical SPM 自图案化超锐金刚石尖端及其在高级纳米电子器件电SPM表征中的应用
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100195
L. Wouters, T. Boehme, L. Mana, T. Hantschel

The continuous downscaling of nanoelectronics devices requires metrology solutions with sub-nanometer spatial resolution. Electrical scanning probe microscopy (E-SPM) techniques such as scanning spreading resistance microscopy have become important tools to map the electronic properties of these devices at nanometer scale using conductive diamond tips. Yet, the spatial resolution that can be achieved in an E-SPM measurement critically depends on the sharpness of the tip being used. Although much progress has already been made in optimizing the tip sharpness, cost-efficiently fabricated high-aspect-ratio diamond tips with ultra-high sharpness are still missing. Therefore, we have developed in this work a dry etching process for super sharp high-aspect-ratio conductive diamond tips, called hedgehog full diamond tips (HFDT), starting from standard low-aspect-ratio full diamond tips (FDT). The distinctive feature of our approach is the self-patterning etch step which benefits the high-volume production of such tips. The self-patterned mask is formed by nanoparticles originating from the interfacial layer deposited during the initial stage of the diamond growth, and metal particles from the surrounding metal cantilever material. In this work, we present our newly developed HFDTs and provide evidence that these tips outperform other conducting tips in terms of spatial resolution during E-SPM measurements.

纳米电子器件的不断缩小需要具有亚纳米空间分辨率的计量解决方案。电子扫描探针显微镜(E-SPM)技术,如扫描扩展电阻显微镜,已成为使用导电金刚石尖端在纳米尺度上绘制这些器件电子特性图的重要工具。然而,在E-SPM测量中可以实现的空间分辨率主要取决于所使用尖端的锐度。尽管在优化尖端锐度方面已经取得了很大进展,但仍然缺少成本高效地制造的具有超高锐度的高纵横比金刚石尖端。因此,我们在这项工作中开发了一种用于超尖锐高纵横比导电金刚石尖端的干法蚀刻工艺,称为刺猬全金刚石尖端(HFDT),从标准低纵横比全金刚石尖端开始。我们方法的显著特点是自图案化蚀刻步骤,这有利于这种尖端的大批量生产。自图案化掩模由源自金刚石生长初始阶段沉积的界面层的纳米颗粒和来自周围金属悬臂材料的金属颗粒形成。在这项工作中,我们展示了我们新开发的HFDT,并提供了证据,证明在E-SPM测量过程中,这些尖端在空间分辨率方面优于其他导电尖端。
{"title":"Self-patterned ultra-sharp diamond tips and their application for advanced nanoelectronics device characterization by electrical SPM","authors":"L. Wouters,&nbsp;T. Boehme,&nbsp;L. Mana,&nbsp;T. Hantschel","doi":"10.1016/j.mne.2023.100195","DOIUrl":"10.1016/j.mne.2023.100195","url":null,"abstract":"<div><p>The continuous downscaling of nanoelectronics devices requires metrology solutions with sub-nanometer spatial resolution. Electrical scanning probe microscopy (E-SPM) techniques such as scanning spreading resistance microscopy have become important tools to map the electronic properties of these devices at nanometer scale using conductive diamond tips. Yet, the spatial resolution that can be achieved in an E-SPM measurement critically depends on the sharpness of the tip being used. Although much progress has already been made in optimizing the tip sharpness, cost-efficiently fabricated high-aspect-ratio diamond tips with ultra-high sharpness are still missing. Therefore, we have developed in this work a dry etching process for super sharp high-aspect-ratio conductive diamond tips, called hedgehog full diamond tips (HFDT), starting from standard low-aspect-ratio full diamond tips (FDT). The distinctive feature of our approach is the self-patterning etch step which benefits the high-volume production of such tips. The self-patterned mask is formed by nanoparticles originating from the interfacial layer deposited during the initial stage of the diamond growth, and metal particles from the surrounding metal cantilever material. In this work, we present our newly developed HFDTs and provide evidence that these tips outperform other conducting tips in terms of spatial resolution during E-SPM measurements.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"49616033","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analysis of random telegraph noise in resistive memories: The case of unstable filaments 电阻存储器中随机电报噪声的分析:以不稳定灯丝为例
Q2 Engineering Pub Date : 2023-06-01 DOI: 10.1016/j.mne.2023.100205
Nikolaos Vasileiadis , Alexandros Mavropoulis , Panagiotis Loukas , Georgios Ch. Sirakoulis , Panagiotis Dimitrakis

Through Random Telegraph Noise (RTN) analysis, valuable information can be provided about the role of defect traps in fine tuning and reading of the state of a nanoelectronic device. However, time domain analysis techniques exhibit their limitations in case where unstable RTN signals occur. These instabilities are a common issue in Multi-Level Cells (MLC) of resistive memories (ReRAM), when the tunning protocol fails to find a perfectly stable resistance state, which in turn brings fluctuations to the RTN signal especially in long time measurements and cause severe errors in the estimation of the distribution of time constants of the observed telegraphic events, i.e., capture/emission of carriers from traps. In this work, we analyze the case of the unstable filaments in silicon nitride-based ReRAM devices and propose an adaptive filter implementing a moving-average detrending method in order to flatten unstable RTN signals and increase sufficiently the accuracy of the conducted measurements. The τe and τc emission/capture time constants of the traps, respectively, are then calculated and a cross-validation through frequency domain analysis (Lorentzian fitting) was performed proving that the proposed method is accurate.

通过随机电报噪声(RTN)分析,可以提供关于缺陷陷阱在纳米电子器件的状态微调和读取中的作用的有价值的信息。然而,时域分析技术在RTN信号不稳定的情况下表现出它们的局限性。这些不稳定性是电阻存储器(ReRAM)的多级单元(MLC)中的一个常见问题,当调谐协议未能找到完全稳定的电阻状态时,这反过来又给RTN信号带来波动,尤其是在长时间测量中,并在估计观测到的电报事件的时间常数分布时引起严重错误,即。,从陷阱捕获/发射载流子。在这项工作中,我们分析了基于氮化硅的ReRAM器件中细丝不稳定的情况,并提出了一种实现移动平均去趋势方法的自适应滤波器,以使不稳定的RTN信号变平,并充分提高所进行测量的精度。然后分别计算了陷阱的τe和τc发射/捕获时间常数,并通过频域分析(洛伦兹拟合)进行了交叉验证,证明了所提出的方法是准确的。
{"title":"Analysis of random telegraph noise in resistive memories: The case of unstable filaments","authors":"Nikolaos Vasileiadis ,&nbsp;Alexandros Mavropoulis ,&nbsp;Panagiotis Loukas ,&nbsp;Georgios Ch. Sirakoulis ,&nbsp;Panagiotis Dimitrakis","doi":"10.1016/j.mne.2023.100205","DOIUrl":"10.1016/j.mne.2023.100205","url":null,"abstract":"<div><p>Through Random Telegraph Noise (RTN) analysis, valuable information can be provided about the role of defect traps in fine tuning and reading of the state of a nanoelectronic device. However, time domain analysis techniques exhibit their limitations in case where unstable RTN signals occur. These instabilities are a common issue in Multi-Level Cells (MLC) of resistive memories (ReRAM), when the tunning protocol fails to find a perfectly stable resistance state, which in turn brings fluctuations to the RTN signal especially in long time measurements and cause severe errors in the estimation of the distribution of time constants of the observed telegraphic events, i.e., capture/emission of carriers from traps. In this work, we analyze the case of the unstable filaments in silicon nitride-based ReRAM devices and propose an adaptive filter implementing a moving-average detrending method in order to flatten unstable RTN signals and increase sufficiently the accuracy of the conducted measurements. The <em>τ</em><sub><em>e</em></sub> and <em>τ</em><sub><em>c</em></sub> emission/capture time constants of the traps, respectively, are then calculated and a cross-validation through frequency domain analysis (Lorentzian fitting) was performed proving that the proposed method is accurate.</p></div>","PeriodicalId":37111,"journal":{"name":"Micro and Nano Engineering","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45396229","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Micro and Nano Engineering
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1