首页 > 最新文献

2012 25th International Conference on VLSI Design最新文献

英文 中文
Analysis of Reachable Sensitisable Paths in Sequential Circuits with SAT and Craig Interpolation 序列电路中可达敏感路径的SAT和Craig插值分析
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.101
M. Sauer, Stefan Kupferschmid, A. Czutro, S. Reddy, B. Becker
Test pattern generation for sequential circuits benefits from scanning strategies as these allow the justification of arbitrary circuit states. However, some of these states may be unreachable during normal operation. This results in non-functional operation which may lead to abnormal circuit behaviour and result in over-testing. In this work, we present a versatile approach that combines a highly adaptable SAT-based path-enumeration algorithm with a model-checking solver for invariant properties that relies on the theory of Craig interpolants to prove the unreachability of circuit states. The method enumerates a set of longest sensitisable paths and yields test sequences of minimal length able to sensitise the found paths starting from a given circuit state. We present detailed experimental results on the reach ability of sensitisable paths in ITC 99 circuits.
顺序电路的测试模式生成得益于扫描策略,因为扫描策略允许任意电路状态的证明。但是,在正常操作期间,其中一些状态可能无法到达。这将导致非功能操作,可能导致异常电路行为并导致过度测试。在这项工作中,我们提出了一种通用的方法,该方法结合了高度适应性的基于sat的路径枚举算法和依赖于克雷格插值理论来证明电路状态不可达性的不变属性的模型检查求解器。该方法列举一组最长的敏感路径,并产生最小长度的测试序列,能够敏感从给定电路状态开始的所找到的路径。我们给出了ITC 99电路中敏感路径到达能力的详细实验结果。
{"title":"Analysis of Reachable Sensitisable Paths in Sequential Circuits with SAT and Craig Interpolation","authors":"M. Sauer, Stefan Kupferschmid, A. Czutro, S. Reddy, B. Becker","doi":"10.1109/VLSID.2012.101","DOIUrl":"https://doi.org/10.1109/VLSID.2012.101","url":null,"abstract":"Test pattern generation for sequential circuits benefits from scanning strategies as these allow the justification of arbitrary circuit states. However, some of these states may be unreachable during normal operation. This results in non-functional operation which may lead to abnormal circuit behaviour and result in over-testing. In this work, we present a versatile approach that combines a highly adaptable SAT-based path-enumeration algorithm with a model-checking solver for invariant properties that relies on the theory of Craig interpolants to prove the unreachability of circuit states. The method enumerates a set of longest sensitisable paths and yields test sequences of minimal length able to sensitise the found paths starting from a given circuit state. We present detailed experimental results on the reach ability of sensitisable paths in ITC 99 circuits.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126482577","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
An Energy Efficient Oscillator Frequency Calibration Methodology Using Fraction Phase Computation 一种基于分数相位计算的节能振荡器频率校准方法
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.51
Amitava Ghosh, Isha Das, Achintya Halder
Wireless Sensor Nodes (WSNs) require a frequency calibration unit for correcting the spectral mask errors in its Radio Frequency (RF) front-end, which is caused by process, voltage and temperature (PVT) variations of the RF oscillator. In WSN applications, the conditions dictate such a frequency calibration unit to be energy efficient (i.e. both low power and having a fast settling time). In this paper, we propose an on-chip, fully embedded frequency calibration methodology, the corresponding algorithm and the hardware architecture, which are suitable for ultra-low power WSNs that use Frequency Shift Keying (FSK) as its modulation scheme. The architecture is based on computation of the fraction-phase of an RF oscillator frequency, when the former is divided by a reference frequency value. The proposed technique, though analogous to a fraction-N frequency synthesizer, requires no phase-locking mechanism and, therefore, requires no Phase Locked Loop (PLL). Simulation results have been presented showing the improved performance metrics over the state-of-the-art frequency calibration techniques used in WSNs.
无线传感器节点(WSNs)需要一个频率校准单元来校正其射频(RF)前端的频谱掩模误差,这些误差是由射频振荡器的工艺、电压和温度(PVT)变化引起的。在WSN应用中,条件决定了这种频率校准单元是节能的(即低功耗和快速稳定时间)。本文提出了一种适用于以频移键控(FSK)作为调制方式的超低功耗无线传感器网络的片上全嵌入式频率校准方法、相应的算法和硬件架构。该架构基于射频振荡器频率的分相计算,当前者除以参考频率值时。所提出的技术虽然类似于分数n频率合成器,但不需要锁相机制,因此不需要锁相环(PLL)。仿真结果显示了在无线传感器网络中使用的最先进的频率校准技术的改进性能指标。
{"title":"An Energy Efficient Oscillator Frequency Calibration Methodology Using Fraction Phase Computation","authors":"Amitava Ghosh, Isha Das, Achintya Halder","doi":"10.1109/VLSID.2012.51","DOIUrl":"https://doi.org/10.1109/VLSID.2012.51","url":null,"abstract":"Wireless Sensor Nodes (WSNs) require a frequency calibration unit for correcting the spectral mask errors in its Radio Frequency (RF) front-end, which is caused by process, voltage and temperature (PVT) variations of the RF oscillator. In WSN applications, the conditions dictate such a frequency calibration unit to be energy efficient (i.e. both low power and having a fast settling time). In this paper, we propose an on-chip, fully embedded frequency calibration methodology, the corresponding algorithm and the hardware architecture, which are suitable for ultra-low power WSNs that use Frequency Shift Keying (FSK) as its modulation scheme. The architecture is based on computation of the fraction-phase of an RF oscillator frequency, when the former is divided by a reference frequency value. The proposed technique, though analogous to a fraction-N frequency synthesizer, requires no phase-locking mechanism and, therefore, requires no Phase Locked Loop (PLL). Simulation results have been presented showing the improved performance metrics over the state-of-the-art frequency calibration techniques used in WSNs.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"19 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131794388","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Diagnosability Metric for Test Set Selection Targeting Better Fault Detection 针对更好的故障检测的测试集选择的可诊断性度量
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.110
Subhadip Kundu, S. Chattopadhyay, I. Sengupta, R. Kapur
Diagnosis is the methodology to identify the reason behind the failure of manufactured chips. This is particularly important from the yield enhancement viewpoint. The primary focus of a diagnosis algorithm is to accurately narrow down the list of suspected candidates. But for any diagnosis algorithm, the effectiveness will depend on the test set in use. If the test set used is not good enough to distinguish between fault pairs, the diagnosis algorithm can never be able to distinguish between a good number of faults. This problem leads us to find a metric which can characterize test sets in terms of their diagnostic power. In literature, several methods have been proposed for assessment of the diagnostic power of a test set. Though the methods are accurate in nature, the bottleneck is the space and time complexity. Thus, given a number of test sets (with same fault coverage) for a circuit, it is very difficult to select one of them for better diagnosis. In this paper, we have proposed a probability based approach to find out a metric to describe diagnostic power of a test set. We call this metric, the diagnosibility of the test set for a given circuit. Our method uses almost 99% less space compared to the proposed methods and is well accurate.
诊断是识别制造芯片故障背后原因的方法。从提高产量的角度来看,这一点尤为重要。诊断算法的主要重点是准确地缩小疑似候选名单。但对于任何一种诊断算法,其有效性都取决于所使用的测试集。如果使用的测试集不足以区分故障对,则诊断算法永远无法区分大量故障。这个问题引导我们找到一个度量,它可以根据测试集的诊断能力来表征测试集。在文献中,已经提出了几种方法来评估测试集的诊断能力。虽然这些方法在本质上是准确的,但其瓶颈是空间和时间的复杂性。因此,给定电路的多个测试集(具有相同的故障覆盖率),很难从中选择一个进行更好的诊断。在本文中,我们提出了一种基于概率的方法来寻找描述测试集诊断能力的度量。我们把这个度量称为,给定电路的测试集的可诊断性。与已有的方法相比,我们的方法占用的空间几乎减少了99%,而且精度很高。
{"title":"A Diagnosability Metric for Test Set Selection Targeting Better Fault Detection","authors":"Subhadip Kundu, S. Chattopadhyay, I. Sengupta, R. Kapur","doi":"10.1109/VLSID.2012.110","DOIUrl":"https://doi.org/10.1109/VLSID.2012.110","url":null,"abstract":"Diagnosis is the methodology to identify the reason behind the failure of manufactured chips. This is particularly important from the yield enhancement viewpoint. The primary focus of a diagnosis algorithm is to accurately narrow down the list of suspected candidates. But for any diagnosis algorithm, the effectiveness will depend on the test set in use. If the test set used is not good enough to distinguish between fault pairs, the diagnosis algorithm can never be able to distinguish between a good number of faults. This problem leads us to find a metric which can characterize test sets in terms of their diagnostic power. In literature, several methods have been proposed for assessment of the diagnostic power of a test set. Though the methods are accurate in nature, the bottleneck is the space and time complexity. Thus, given a number of test sets (with same fault coverage) for a circuit, it is very difficult to select one of them for better diagnosis. In this paper, we have proposed a probability based approach to find out a metric to describe diagnostic power of a test set. We call this metric, the diagnosibility of the test set for a given circuit. Our method uses almost 99% less space compared to the proposed methods and is well accurate.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"376 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133013639","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Embedded Tutorial ET3: Packaging Trends, Die Package Co-Design Flow and Challenges 嵌入式教程ET3:封装趋势,模具封装协同设计流程和挑战
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.40
Siva Kothamasu
Summary form only given. Packaging has become one of the critical areas of the SoC design flow in recent years. Miniaturization of package with the ever increasing interface speeds and massive integration have opened up a lot of challenges for packaging engineers. This talk will cover different popular packages available in the industry and recent package trends. Traditionally, package design and analysis always followed SoC design, but it is becoming more and more imperative to design die and package in conjunction. Designing the die and package together in the loop to optimize die and package design and closure is popularly referred to as die package co-design. This talk will focus on the key aspects of co-design flow, package modeling/analysis aspects specifically for high performance designs. This talk will also cover some key issues and challenges from practical designs.
只提供摘要形式。近年来,封装已成为SoC设计流程的关键领域之一。随着接口速度的不断提高和大规模集成,封装的小型化给封装工程师带来了许多挑战。本次演讲将涵盖行业中不同的流行软件包以及最近的软件包趋势。传统上,封装设计和分析总是遵循SoC设计,但越来越迫切需要将芯片和封装设计结合起来。将模具和封装一起设计在循环中以优化模具和封装的设计和闭合通常被称为模具封装协同设计。本次演讲将重点关注协同设计流程的关键方面,特别是高性能设计的封装建模/分析方面。本讲座还将涵盖一些来自实际设计的关键问题和挑战。
{"title":"Embedded Tutorial ET3: Packaging Trends, Die Package Co-Design Flow and Challenges","authors":"Siva Kothamasu","doi":"10.1109/VLSID.2012.40","DOIUrl":"https://doi.org/10.1109/VLSID.2012.40","url":null,"abstract":"Summary form only given. Packaging has become one of the critical areas of the SoC design flow in recent years. Miniaturization of package with the ever increasing interface speeds and massive integration have opened up a lot of challenges for packaging engineers. This talk will cover different popular packages available in the industry and recent package trends. Traditionally, package design and analysis always followed SoC design, but it is becoming more and more imperative to design die and package in conjunction. Designing the die and package together in the loop to optimize die and package design and closure is popularly referred to as die package co-design. This talk will focus on the key aspects of co-design flow, package modeling/analysis aspects specifically for high performance designs. This talk will also cover some key issues and challenges from practical designs.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"48 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115173973","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Reconfigurable On-die Traffic Generator in 45nm CMOS for a 48 iA-32 Core Network-on-Chip 用于48ia -32核心片上网络的45nm CMOS可重构片上流量发生器
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.86
Praveen Salihundam, Mohammed Asadullah Khan, Shailendra Jain, Y. Hoskote, Satish Yada, Shasi Kumar, V. Erraguntla, S. Vangal, N. Borkar
A reconfigurable on-die Traffic Generator (TG) is proposed to test the packet switched 2D-mesh network of a 48 iA-32 core Single-chip Cloud Computer. The Single-chip Cloud Computer (SCC) is an experimental processor created by Intel Labs. The 24-tile Network-on-Chip (NoC) consists of a Traffic Generator per tile which can be programmed to generate deterministic and random traffic patterns. It also consists of reconfigurable activity control, (non)-cacheable reads and writes, message class and route control bits to feed synthetic traffic to the network to investigate NoC functional, protocol issues and to measure the key power-performance metrics. In this paper, we present the architecture and design details of the Traffic Generator, operating modes, re-configurability and the testing procedures. This semi-custom design has a transistor count of 54K, which is 0.1% of tile transistor count, and occupies 0.3mm2 area which is 0.9% of tile area. The estimated power consumption is only 23mW at 1.1V and at 500C, 0.02% of the total chip power in 45nm high-K nine metal CMOS process.
提出了一种可重构的片上流量发生器(TG),用于测试48ia -32核单芯片云计算机的分组交换二维网格网络。单芯片云计算机(SCC)是由英特尔实验室创建的实验性处理器。24块片上网络(NoC)由每个片上一个流量生成器组成,可以编程生成确定性和随机流量模式。它还包括可重构的活动控制、(非)可缓存的读写、消息类和路由控制位,以将合成流量馈送到网络,以调查NoC功能、协议问题并测量关键的电源性能指标。本文介绍了流量发生器的体系结构和设计细节、工作模式、可重构性和测试过程。这种半定制设计的晶体管数为54K,为瓷砖晶体管数的0.1%,占地面积0.3mm2,为瓷砖面积的0.9%。在1.1V和500C下,估计功耗仅为23mW,为45nm高k九金属CMOS工艺总芯片功耗的0.02%。
{"title":"A Reconfigurable On-die Traffic Generator in 45nm CMOS for a 48 iA-32 Core Network-on-Chip","authors":"Praveen Salihundam, Mohammed Asadullah Khan, Shailendra Jain, Y. Hoskote, Satish Yada, Shasi Kumar, V. Erraguntla, S. Vangal, N. Borkar","doi":"10.1109/VLSID.2012.86","DOIUrl":"https://doi.org/10.1109/VLSID.2012.86","url":null,"abstract":"A reconfigurable on-die Traffic Generator (TG) is proposed to test the packet switched 2D-mesh network of a 48 iA-32 core Single-chip Cloud Computer. The Single-chip Cloud Computer (SCC) is an experimental processor created by Intel Labs. The 24-tile Network-on-Chip (NoC) consists of a Traffic Generator per tile which can be programmed to generate deterministic and random traffic patterns. It also consists of reconfigurable activity control, (non)-cacheable reads and writes, message class and route control bits to feed synthetic traffic to the network to investigate NoC functional, protocol issues and to measure the key power-performance metrics. In this paper, we present the architecture and design details of the Traffic Generator, operating modes, re-configurability and the testing procedures. This semi-custom design has a transistor count of 54K, which is 0.1% of tile transistor count, and occupies 0.3mm2 area which is 0.9% of tile area. The estimated power consumption is only 23mW at 1.1V and at 500C, 0.02% of the total chip power in 45nm high-K nine metal CMOS process.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"52 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123205528","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Test Planning for Core-based 3D Stacked ICs with Through-Silicon Vias 基于核的3D硅通孔堆叠集成电路的测试规划
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.111
B. SenGupta, Urban Ingelsson, E. Larsson
Test planning for core-based 3D stacked ICs with trough-silicon vias (3D TSV-SIC) is different from test planning for non-stacked ICs as the same test schedule cannot be applied both at wafer sort and package test. In this paper, we assume a test flow where each chip is tested individually at wafer sort and jointly at package test. We define cost functions and test planning optimization algorithms for non-stacked ICs, 3D TSV-SICs with two chips and 3D TSV-SICs with an arbitrary number of chips. We have implemented our techniques and experiments show significant reduction of test cost.
晶圆分选和封装测试不能同时采用相同的测试计划,因此基于芯芯的3D堆叠通孔集成电路(3D TSV-SIC)的测试计划与非堆叠集成电路的测试计划不同。在本文中,我们假设了一个测试流程,其中每个芯片在晶圆分拣时单独测试,在封装测试时联合测试。我们定义了非堆叠集成电路、带有两个芯片的3D tsv - sic和带有任意数量芯片的3D tsv - sic的成本函数和测试规划优化算法。我们已经实现了我们的技术和实验表明,显著降低了测试成本。
{"title":"Test Planning for Core-based 3D Stacked ICs with Through-Silicon Vias","authors":"B. SenGupta, Urban Ingelsson, E. Larsson","doi":"10.1109/VLSID.2012.111","DOIUrl":"https://doi.org/10.1109/VLSID.2012.111","url":null,"abstract":"Test planning for core-based 3D stacked ICs with trough-silicon vias (3D TSV-SIC) is different from test planning for non-stacked ICs as the same test schedule cannot be applied both at wafer sort and package test. In this paper, we assume a test flow where each chip is tested individually at wafer sort and jointly at package test. We define cost functions and test planning optimization algorithms for non-stacked ICs, 3D TSV-SICs with two chips and 3D TSV-SICs with an arbitrary number of chips. We have implemented our techniques and experiments show significant reduction of test cost.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"15 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123470053","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
An Area Efficient Diode and On Transistor Interchangeable Power Gating Scheme with Trim Options for Low Power SRAMs 低功耗sram的面积高效二极管和晶体管可互换电源门控方案与微调选项
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.50
A. Goel, D. Evans, Richard Stephani, V. Reddy, Dharmendra Rai, V. Chary, N. Sathisha
Reducing the leakage power in embedded SRAM memories is critical for low-power applications. Raising the source voltage of SRAM cells through diode transistor in standby mode reduces the leakage currents effectively. However, in order to preserve the state of the cell in standby mode, the source voltage cannot be raised beyond a certain level. To achieve that, the size of the required diode transistor becomes larger, as the supply voltage shrinks in the nano-CMOS technologies. In this work, an area efficient power gating technique with capability of post-silicon trimming of the voltage across SRAM cell is presented. Proposed interchangeable on transistor and diode scheme reduces the area overhead by 40% compared to conventional schemes, when applied to a 16Kb SRAM macro at 28nm CMOS technology at 0.85V supply voltage. Trimmable power gating scheme provides many options to trim the SRAM source voltage (ranging from 50mV to 150 mV in steps of approx. 25mV) with approx. 3% area overhead and more flexibility over conventional schemes.
降低嵌入式SRAM存储器的泄漏功率对于低功耗应用至关重要。在待机状态下,通过二极管晶体管提高SRAM单元的源电压可以有效地降低泄漏电流。然而,为了保持电池在待机模式下的状态,源电压不能提高到一定水平以上。为了实现这一目标,在纳米cmos技术中,随着电源电压的缩小,所需二极管晶体管的尺寸变得更大。本文提出了一种区域高效功率门控技术,该技术具有跨SRAM单元电压的后硅微调能力。当应用于28nm CMOS技术的16Kb SRAM宏,在0.85V电源电压下,所提出的晶体管和二极管可互换方案比传统方案减少了40%的面积开销。可调功率门控方案提供了许多选项来修整SRAM源电压(范围从50mV到150mv,步骤约为1。25mV)。3%的面积开销,比传统方案更具灵活性。
{"title":"An Area Efficient Diode and On Transistor Interchangeable Power Gating Scheme with Trim Options for Low Power SRAMs","authors":"A. Goel, D. Evans, Richard Stephani, V. Reddy, Dharmendra Rai, V. Chary, N. Sathisha","doi":"10.1109/VLSID.2012.50","DOIUrl":"https://doi.org/10.1109/VLSID.2012.50","url":null,"abstract":"Reducing the leakage power in embedded SRAM memories is critical for low-power applications. Raising the source voltage of SRAM cells through diode transistor in standby mode reduces the leakage currents effectively. However, in order to preserve the state of the cell in standby mode, the source voltage cannot be raised beyond a certain level. To achieve that, the size of the required diode transistor becomes larger, as the supply voltage shrinks in the nano-CMOS technologies. In this work, an area efficient power gating technique with capability of post-silicon trimming of the voltage across SRAM cell is presented. Proposed interchangeable on transistor and diode scheme reduces the area overhead by 40% compared to conventional schemes, when applied to a 16Kb SRAM macro at 28nm CMOS technology at 0.85V supply voltage. Trimmable power gating scheme provides many options to trim the SRAM source voltage (ranging from 50mV to 150 mV in steps of approx. 25mV) with approx. 3% area overhead and more flexibility over conventional schemes.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"18 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121069817","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Novel SMT-Based Technique for LFSR Reseeding 一种基于smt的LFSR重播新技术
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.103
S. Prabhu, M. Hsiao, L. Lingappan, V. Gangaram
In order for logic built-in-self-test (LBIST) to achieve coverages comparable with deterministic tests, multiple (and frequently many) seeds are often needed. Unlike previous methods that attempt to chain/compact the number of seeds, we present a novel Satisfiability Modulo Theory (SMT) based technique that can reduce the number of seeds significantly while simultaneously achieving high coverage for LBIST. In this technique we integrate the process of deterministic test generation and seed generation in one SMT process to eliminate the problems of chaining the separately generated deterministic patterns. Experimental results show the promise of the approach.
为了使逻辑内置自测(LBIST)达到与确定性测试相当的覆盖率,通常需要多个(通常是许多)种子。不同于以往尝试链式压缩种子数量的方法,我们提出了一种新的基于可满足模理论(SMT)的技术,可以显著减少种子数量,同时实现LBIST的高覆盖率。在该技术中,我们将确定性测试生成过程和种子生成过程集成在一个SMT过程中,以消除单独生成的确定性模式的链接问题。实验结果表明了该方法的可行性。
{"title":"A Novel SMT-Based Technique for LFSR Reseeding","authors":"S. Prabhu, M. Hsiao, L. Lingappan, V. Gangaram","doi":"10.1109/VLSID.2012.103","DOIUrl":"https://doi.org/10.1109/VLSID.2012.103","url":null,"abstract":"In order for logic built-in-self-test (LBIST) to achieve coverages comparable with deterministic tests, multiple (and frequently many) seeds are often needed. Unlike previous methods that attempt to chain/compact the number of seeds, we present a novel Satisfiability Modulo Theory (SMT) based technique that can reduce the number of seeds significantly while simultaneously achieving high coverage for LBIST. In this technique we integrate the process of deterministic test generation and seed generation in one SMT process to eliminate the problems of chaining the separately generated deterministic patterns. Experimental results show the promise of the approach.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"92 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126170280","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Bidirectional Single-Supply Level Shifter with Wide Voltage Range for Efficient Power Management 具有宽电压范围的双向单电源电平移位器,用于高效电源管理
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.58
S. Manohar, V. Somasundar, R. Venkatasubramanian, P. Balsara
Level shifter circuits are used to interface multiple voltage islands in many modern ICs or Systems-on-Chip (SoCs). Single-supply level shifters are being used to reduce the power routing resources and minimize the routing congestion at the chip level. A single-supply bidirectional level shifter aimed at low voltage which offers a wide voltage range (SS-WVRLS) is designed using standard commercial 90nm CMOS process. The proposed level shifter uses analog and digital circuit techniques to provide full voltage shifting range for any combination of supply voltages (VDDIN = VDD,VDDIN <; VDD or VDDIN >; VDD) in any step size (paper shows 25mv step) with no requirement for special low-Vτ or high-Vτ devices, thus reducing the process cost. Post layout SPICE simulation comparison results show that proposed circuit is functional for full core supply voltage range (0.6V - 1.32V) compared to other published level shifters. The circuit was tested for robustness under process mismatch conditions by 1000 point global and local Monte Carlo simulations.
在许多现代集成电路或片上系统(soc)中,电平移位电路用于连接多个电压岛。单电源电平转换器被用于减少电源路由资源,并最大限度地减少芯片级的路由拥塞。采用标准的商用90纳米CMOS工艺设计了一种低电压宽电压范围的单电源双向电平移位器(SS-WVRLS)。所提出的电平移位器使用模拟和数字电路技术,为任何电源电压组合(VDDIN = VDD,VDDIN;VDD)在任何步长(论文显示25mv步长),不需要特殊的低v τ或高v τ器件,从而降低了工艺成本。布局后SPICE仿真比较结果表明,与其他已发表的移电平器相比,该电路可在全芯电源电压范围(0.6V - 1.32V)下工作。通过1000点全局和局部蒙特卡罗模拟,验证了该电路在过程失配条件下的鲁棒性。
{"title":"Bidirectional Single-Supply Level Shifter with Wide Voltage Range for Efficient Power Management","authors":"S. Manohar, V. Somasundar, R. Venkatasubramanian, P. Balsara","doi":"10.1109/VLSID.2012.58","DOIUrl":"https://doi.org/10.1109/VLSID.2012.58","url":null,"abstract":"Level shifter circuits are used to interface multiple voltage islands in many modern ICs or Systems-on-Chip (SoCs). Single-supply level shifters are being used to reduce the power routing resources and minimize the routing congestion at the chip level. A single-supply bidirectional level shifter aimed at low voltage which offers a wide voltage range (SS-WVRLS) is designed using standard commercial 90nm CMOS process. The proposed level shifter uses analog and digital circuit techniques to provide full voltage shifting range for any combination of supply voltages (VDDIN = VDD,VDDIN <; VDD or VDDIN >; VDD) in any step size (paper shows 25mv step) with no requirement for special low-Vτ or high-Vτ devices, thus reducing the process cost. Post layout SPICE simulation comparison results show that proposed circuit is functional for full core supply voltage range (0.6V - 1.32V) compared to other published level shifters. The circuit was tested for robustness under process mismatch conditions by 1000 point global and local Monte Carlo simulations.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126378496","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Analysis of the Pull-In Phenomenon in Microelectromechanical Varactors 微机电变容管中的拉入现象分析
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.68
A. Roy, A. Bhattacharya, R. Chaudhuri, T. K. Bhattacharyya
High-Q factor voltage controlled oscillators (VCO) need a wide tuning range and low phase noise over gigahertz ranges of frequency which depends on the tunability of the capacitors in the LC tank circuit. The reasons behind the development of a micro electro mechanical (MEM) varactor were the difficulties encountered in the realization of on-chip variable capacitors having low phase noise and high quality factors with a wide tuning range in the span of frequencies over process and temperature variations. This paper presents an efficient closed-form model for determination of the pull-in voltage in a surface micro machined MEM varactor which is a factor directly affecting the tunability of the device. The nonlinear spring hardening effects associated with proper load-deflection characteristics of clamped plates and the electrostatic spring softening effects due to the parallel-plate and fringing field capacitances have been taken into account with the dimensions of the device optimized through finite element analysis (FEA).
高q因数压控振荡器(VCO)需要在千兆赫频率范围内的宽调谐范围和低相位噪声,这取决于LC槽电路中电容器的可调谐性。开发微机电变容管的原因是在实现具有低相位噪声和高质量因数的片上可变电容器时遇到的困难,这些电容器在工艺和温度变化的频率范围内具有宽的调谐范围。本文提出了一种有效的封闭模型,用于确定直接影响器件可调性的表面微加工MEM变容管的拉入电压。考虑了夹紧板适当的载荷-挠曲特性引起的非线性弹簧硬化效应以及平行板和边缘场电容引起的静电弹簧软化效应,并通过有限元分析优化了器件的尺寸。
{"title":"Analysis of the Pull-In Phenomenon in Microelectromechanical Varactors","authors":"A. Roy, A. Bhattacharya, R. Chaudhuri, T. K. Bhattacharyya","doi":"10.1109/VLSID.2012.68","DOIUrl":"https://doi.org/10.1109/VLSID.2012.68","url":null,"abstract":"High-Q factor voltage controlled oscillators (VCO) need a wide tuning range and low phase noise over gigahertz ranges of frequency which depends on the tunability of the capacitors in the LC tank circuit. The reasons behind the development of a micro electro mechanical (MEM) varactor were the difficulties encountered in the realization of on-chip variable capacitors having low phase noise and high quality factors with a wide tuning range in the span of frequencies over process and temperature variations. This paper presents an efficient closed-form model for determination of the pull-in voltage in a surface micro machined MEM varactor which is a factor directly affecting the tunability of the device. The nonlinear spring hardening effects associated with proper load-deflection characteristics of clamped plates and the electrostatic spring softening effects due to the parallel-plate and fringing field capacitances have been taken into account with the dimensions of the device optimized through finite element analysis (FEA).","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"33 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127008764","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
期刊
2012 25th International Conference on VLSI Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1