首页 > 最新文献

2012 25th International Conference on VLSI Design最新文献

英文 中文
Intra-Task Dynamic Cache Reconfiguration 任务内动态缓存重构
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.109
Hadi Hajimiri, P. Mishra
Optimization techniques are widely used in embedded systems design to improve overall area, performance and energy requirements. Dynamic cache reconfiguration (DCR) is very effective to reduce energy consumption of cache subsystems. Finding the right reconfiguration points in a task and selecting appropriate cache configurations for each phase are the primary challenges in phase-based DCR. In this paper, we present a novel intra-task dynamic cache reconfiguration technique using a detailed cache model, and tune a highly-configurable cache on a per-phase basis compared to tuning once per application. Experimental results demonstrate that our intra-task DCR can achieve up to 27% (12% on average) and 19% (7% on average) energy savings for instruction and data caches, respectively, without introducing any performance penalty.
优化技术广泛应用于嵌入式系统设计,以提高整体面积,性能和能源需求。动态缓存重构(DCR)是降低缓存子系统能耗的有效方法。在基于阶段的DCR中,在任务中找到正确的重新配置点并为每个阶段选择适当的缓存配置是主要的挑战。在本文中,我们提出了一种新的任务内动态缓存重新配置技术,使用详细的缓存模型,并在每个阶段的基础上调优高度可配置的缓存,而不是每个应用程序调优一次。实验结果表明,我们的任务内DCR可以分别为指令和数据缓存实现高达27%(平均12%)和19%(平均7%)的节能,而不会带来任何性能损失。
{"title":"Intra-Task Dynamic Cache Reconfiguration","authors":"Hadi Hajimiri, P. Mishra","doi":"10.1109/VLSID.2012.109","DOIUrl":"https://doi.org/10.1109/VLSID.2012.109","url":null,"abstract":"Optimization techniques are widely used in embedded systems design to improve overall area, performance and energy requirements. Dynamic cache reconfiguration (DCR) is very effective to reduce energy consumption of cache subsystems. Finding the right reconfiguration points in a task and selecting appropriate cache configurations for each phase are the primary challenges in phase-based DCR. In this paper, we present a novel intra-task dynamic cache reconfiguration technique using a detailed cache model, and tune a highly-configurable cache on a per-phase basis compared to tuning once per application. Experimental results demonstrate that our intra-task DCR can achieve up to 27% (12% on average) and 19% (7% on average) energy savings for instruction and data caches, respectively, without introducing any performance penalty.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"62 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132017364","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
SCARE: Side-Channel Analysis Based Reverse Engineering for Post-Silicon Validation 基于侧通道分析的后硅验证逆向工程
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.88
Xinmu Wang, S. Narasimhan, A. Krishna, S. Bhunia
Reverse Engineering (RE) has been historically considered as a powerful approach to understand electronic hardware in order to gain competitive intelligence or accomplish piracy. In recent years, it has also been looked at as a way to authenticate hardware intellectual properties in the court of law. In this paper, we propose a beneficial role of RE in post-silicon validation of integrated circuits (IC) with respect to IC functionality, reliability and integrity. Unlike traditional destructive RE approaches, we propose a fast non-destructive side-channel analysis approach that can hierarchically extract structural information from an IC through its transient current signature. Such a top-down side-channel analysis approach is capable of reliably identifying pipeline stages and functional blocks. It is also suitable to distinguish sequential elements from combinational gates. For extraction of random logic structures (e.g. control blocks and finite state machines) we combine side-channel analysis with logic testing based Boolean function extraction. The proposed approach is amenable to automation, scalable, and can be applied as part of post-silicon validation process to verify that each IC implements exclusively the functionality described in the specification and is free from malicious modification or Trojan attacks. Simulation results on a pipelined DLX processor demonstrate the effectiveness of the proposed approach.
逆向工程(RE)历来被认为是了解电子硬件以获得竞争情报或完成盗版的有力方法。近年来,它也被视为在法庭上验证硬件知识产权的一种方式。在本文中,我们提出了RE在集成电路(IC)的功能,可靠性和完整性方面的后硅验证中的有益作用。与传统的破坏性重构方法不同,我们提出了一种快速的非破坏性侧信道分析方法,该方法可以通过IC的瞬态电流特征分层提取结构信息。这种自顶向下的边通道分析方法能够可靠地识别管道阶段和功能块。它也适用于区分顺序元件和组合门。对于随机逻辑结构(如控制块和有限状态机)的提取,我们将边信道分析与基于逻辑测试的布尔函数提取相结合。所提出的方法易于自动化,可扩展,并且可以作为后硅验证过程的一部分应用,以验证每个IC是否完全实现了规范中描述的功能,并且没有恶意修改或特洛伊木马攻击。在流水线DLX处理器上的仿真结果验证了该方法的有效性。
{"title":"SCARE: Side-Channel Analysis Based Reverse Engineering for Post-Silicon Validation","authors":"Xinmu Wang, S. Narasimhan, A. Krishna, S. Bhunia","doi":"10.1109/VLSID.2012.88","DOIUrl":"https://doi.org/10.1109/VLSID.2012.88","url":null,"abstract":"Reverse Engineering (RE) has been historically considered as a powerful approach to understand electronic hardware in order to gain competitive intelligence or accomplish piracy. In recent years, it has also been looked at as a way to authenticate hardware intellectual properties in the court of law. In this paper, we propose a beneficial role of RE in post-silicon validation of integrated circuits (IC) with respect to IC functionality, reliability and integrity. Unlike traditional destructive RE approaches, we propose a fast non-destructive side-channel analysis approach that can hierarchically extract structural information from an IC through its transient current signature. Such a top-down side-channel analysis approach is capable of reliably identifying pipeline stages and functional blocks. It is also suitable to distinguish sequential elements from combinational gates. For extraction of random logic structures (e.g. control blocks and finite state machines) we combine side-channel analysis with logic testing based Boolean function extraction. The proposed approach is amenable to automation, scalable, and can be applied as part of post-silicon validation process to verify that each IC implements exclusively the functionality described in the specification and is free from malicious modification or Trojan attacks. Simulation results on a pipelined DLX processor demonstrate the effectiveness of the proposed approach.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"91 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130892187","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Power Aware Hardware Prototyping of Multiclass SVM Classifier Through Reconfiguration 基于重构的多类SVM分类器功耗感知硬件原型
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.47
R. A. Patil, G. Gupta, V. Sahula, A. S. Mandal
This paper presents power aware hardware implementation of multiclass Support Vector Machine on FPGA using systolic array architecture. It uses Partial reconfiguration schemes of XILINX for power optimal implementation of the design. Systolic array architecture provides efficient memory management, reduced complexity, and efficient data transfer mechanisms. Multiclass support vector machine is used as classifier for facial expression recognition system, which identifies one of six basic facial expressions such as smile, surprise, sad, anger, disgust, and fear. The extracted parameters from training phase of the SVM are used to implement testing phase of the SVM on the hardware. In the architecture, vector multiplication operation and classification of pair wise classifiers is designed. A data set of Cohn Kanade database in six different classes is used for training and testing of proposed SVM. This architecture is then partially reconfigured using difference based approach with the help of XILINX EDA tools. For feature classification power reduction is achieved using reconfiguration.
本文采用收缩阵列结构,在FPGA上实现了多类支持向量机的功耗感知硬件实现。采用XILINX的部分重构方案对设计进行功率优化实现。收缩阵列架构提供高效的内存管理、降低复杂性和高效的数据传输机制。将多类支持向量机作为人脸表情识别系统的分类器,对微笑、惊讶、悲伤、愤怒、厌恶、恐惧等六种基本面部表情进行识别。从支持向量机训练阶段提取的参数用于在硬件上实现支持向量机的测试阶段。在该体系结构中,设计了向量乘法运算和对分类器的分类。使用Cohn Kanade数据库的6个不同类别的数据集对所提出的支持向量机进行训练和测试。然后在XILINX EDA工具的帮助下,使用基于差异的方法部分重新配置该体系结构。对于特征分类,使用重构实现了功率降低。
{"title":"Power Aware Hardware Prototyping of Multiclass SVM Classifier Through Reconfiguration","authors":"R. A. Patil, G. Gupta, V. Sahula, A. S. Mandal","doi":"10.1109/VLSID.2012.47","DOIUrl":"https://doi.org/10.1109/VLSID.2012.47","url":null,"abstract":"This paper presents power aware hardware implementation of multiclass Support Vector Machine on FPGA using systolic array architecture. It uses Partial reconfiguration schemes of XILINX for power optimal implementation of the design. Systolic array architecture provides efficient memory management, reduced complexity, and efficient data transfer mechanisms. Multiclass support vector machine is used as classifier for facial expression recognition system, which identifies one of six basic facial expressions such as smile, surprise, sad, anger, disgust, and fear. The extracted parameters from training phase of the SVM are used to implement testing phase of the SVM on the hardware. In the architecture, vector multiplication operation and classification of pair wise classifiers is designed. A data set of Cohn Kanade database in six different classes is used for training and testing of proposed SVM. This architecture is then partially reconfigured using difference based approach with the help of XILINX EDA tools. For feature classification power reduction is achieved using reconfiguration.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121399834","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Run-time Prediction of the Optimal Performance Point in DVS-based Dynamic Thermal Management 基于dvs的动态热管理中最佳性能点的运行时间预测
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.63
Junyoung Park, H. M. Ustun, J. Abraham
Due to the increasing trend toward greater processor power density and computationally intensive applications, Dynamic Thermal Management (DTM) has become an essential technique in modern processors. Among many DTM techniques, Dynamic Voltage Scaling (DVS) is widely used because of its chief virtue - a cubic reduction in power at the relatively minor cost of a linear performance penalty. Because this reduction comes at a cost in execution speed, a key point of DVS-based DTM research is how accurately the processor predicts the optimal performance point where it can meet the thermal constraints while also minimizing the performance penalty. In this paper, we propose a new DVS-based DTM technique that makes the prediction of the optimal performance point more accurate. To achieve this, run-time prediction techniques are used and different power compositions due to process variations are considered from a VLSI perspective. The prediction process is performed by referring to one of the Look-Up Tables (LUTs) prepared during design time and also the average clock enable ratio that is dynamically calculated at run time. The simulation results show that we can achieve maximum processor performance while keeping the processor temperature from exceeding the threshold temperature.
随着处理器功率密度和计算密集型应用的不断发展,动态热管理(DTM)已成为现代处理器的一项重要技术。在许多DTM技术中,动态电压缩放(DVS)被广泛使用,因为它的主要优点是在线性性能损失相对较小的代价下减少三分之一的功率。由于这种降低是以执行速度为代价的,因此基于dvs的DTM研究的一个关键点是处理器如何准确地预测最佳性能点,从而满足热约束,同时最大限度地减少性能损失。在本文中,我们提出了一种新的基于dvs的DTM技术,使最优性能点的预测更加准确。为了实现这一目标,使用了运行时预测技术,并从VLSI的角度考虑了由于工艺变化而导致的不同功率组成。预测过程是通过引用在设计时准备的一个查找表以及在运行时动态计算的平均时钟启用比来执行的。仿真结果表明,在保持处理器温度不超过阈值温度的情况下,可以实现最大的处理器性能。
{"title":"Run-time Prediction of the Optimal Performance Point in DVS-based Dynamic Thermal Management","authors":"Junyoung Park, H. M. Ustun, J. Abraham","doi":"10.1109/VLSID.2012.63","DOIUrl":"https://doi.org/10.1109/VLSID.2012.63","url":null,"abstract":"Due to the increasing trend toward greater processor power density and computationally intensive applications, Dynamic Thermal Management (DTM) has become an essential technique in modern processors. Among many DTM techniques, Dynamic Voltage Scaling (DVS) is widely used because of its chief virtue - a cubic reduction in power at the relatively minor cost of a linear performance penalty. Because this reduction comes at a cost in execution speed, a key point of DVS-based DTM research is how accurately the processor predicts the optimal performance point where it can meet the thermal constraints while also minimizing the performance penalty. In this paper, we propose a new DVS-based DTM technique that makes the prediction of the optimal performance point more accurate. To achieve this, run-time prediction techniques are used and different power compositions due to process variations are considered from a VLSI perspective. The prediction process is performed by referring to one of the Look-Up Tables (LUTs) prepared during design time and also the average clock enable ratio that is dynamically calculated at run time. The simulation results show that we can achieve maximum processor performance while keeping the processor temperature from exceeding the threshold temperature.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121462486","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A Power Delivery Network Aware Framework for Synthesis of 3D Networks-on-Chip with Multiple Voltage Islands 基于多电压岛的三维片上网络综合的电力输送网络感知框架
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.81
N. Kapadia, S. Pasricha
IR drops in a Power Delivery Network (PDN) on chip multi-processors (CMPs) can worsen the quality of voltage supply and thereby affect overall performance. This problem is more severe in 3D CMPs with network-on-chip (NoC) fabrics where the current in the PDN increases proportionally to the number of device layers. Even though the PDN and NoC design goals are non-overlapping, both the optimizations are interdependent, for instance, each new core mapping on the 3D die will change traffic patterns and have a unique distribution of IR-drops in the PDN. Unfortunately, designers today seldom consider design of PDN while synthesizing NoCs. If NoC synthesis is carried out without considering the associated PDN design cost, it can easily result in an overall sub-optimal design. In this work, for the first time, we propose a novel PDN-aware 3D NoC synthesis framework that minimizes NoC power while meeting performance goals, and optimizes the corresponding PDN for total number of Voltage Regulator Modules (VRMs), current efficiency, and grid-wire width while satisfying IR-drop constraints. Our experimental results show that the proposed methodology provides more comprehensive results compared to a traditional approach where the NoC synthesis step does not consider the PDN costs.
在PDN (Power Delivery Network)芯片多处理器(cmp)中,IR下降会使电压供应质量恶化,从而影响整体性能。这个问题在带有片上网络(NoC)结构的3D cmp中更为严重,其中PDN中的电流与设备层数成比例地增加。尽管PDN和NoC的设计目标不重叠,但这两种优化是相互依赖的,例如,3D模具上的每个新核心映射将改变流量模式,并在PDN中具有独特的ir下降分布。遗憾的是,目前的设计人员在合成noc时很少考虑PDN的设计。如果在不考虑相关PDN设计成本的情况下进行NoC合成,则很容易导致整体次优设计。在这项工作中,我们首次提出了一种新颖的PDN感知3D NoC合成框架,该框架在满足性能目标的同时最大限度地降低了NoC功率,并在满足IR-drop约束的情况下,根据电压调节器模块(VRMs)的总数、电流效率和电网线宽度优化了相应的PDN。我们的实验结果表明,与不考虑PDN成本的NoC合成步骤的传统方法相比,所提出的方法提供了更全面的结果。
{"title":"A Power Delivery Network Aware Framework for Synthesis of 3D Networks-on-Chip with Multiple Voltage Islands","authors":"N. Kapadia, S. Pasricha","doi":"10.1109/VLSID.2012.81","DOIUrl":"https://doi.org/10.1109/VLSID.2012.81","url":null,"abstract":"IR drops in a Power Delivery Network (PDN) on chip multi-processors (CMPs) can worsen the quality of voltage supply and thereby affect overall performance. This problem is more severe in 3D CMPs with network-on-chip (NoC) fabrics where the current in the PDN increases proportionally to the number of device layers. Even though the PDN and NoC design goals are non-overlapping, both the optimizations are interdependent, for instance, each new core mapping on the 3D die will change traffic patterns and have a unique distribution of IR-drops in the PDN. Unfortunately, designers today seldom consider design of PDN while synthesizing NoCs. If NoC synthesis is carried out without considering the associated PDN design cost, it can easily result in an overall sub-optimal design. In this work, for the first time, we propose a novel PDN-aware 3D NoC synthesis framework that minimizes NoC power while meeting performance goals, and optimizes the corresponding PDN for total number of Voltage Regulator Modules (VRMs), current efficiency, and grid-wire width while satisfying IR-drop constraints. Our experimental results show that the proposed methodology provides more comprehensive results compared to a traditional approach where the NoC synthesis step does not consider the PDN costs.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122588791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A Framework for TSV Serialization-aware Synthesis of Application Specific 3D Networks-on-Chip 面向特定应用的三维片上网络的TSV串行感知综合框架
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.82
S. Pasricha
With increasing performance-per-watt implementation requirements for emerging applications and barriers in interconnect scaling for ultra-deep sub micron (UDSM) technologies, traditional 2D integrated circuits (2D-ICs) are being pushed to their limit. Three dimensional integrated circuits (3D-ICs) have recently emerged as a promising solution that can overcome many of the performance, area, and power concerns in 2D-ICs. In this paper we propose a novel framework (MORPHEUS) for the synthesis of application-specific 3D networks on chip (NoCs). The goal is to generate 3D NoCs that meet application performance constraints while minimizing power dissipation. MORPHEUS incorporates thermal-aware core layout, 3D topology and route generation, and placement of network interfaces (NIs), routers, and serialized vertical through silicon vias (TSVs). Experimental studies on several chip multiprocessor (CMP) applications indicate that our generated solutions notably reduce power dissipation (up to 2.3×) and average latency (up to 1.2×) over 2D NoCs. Comparisons with a previous work on application-specific 3D NoC synthesis also show improvements in power dissipation (up to 1.9×) and average latency (up to 1.6×).
随着新兴应用对每瓦性能的要求不断提高,以及超深亚微米(UDSM)技术互连扩展的障碍,传统的2D集成电路(2D- ic)正被推向极限。三维集成电路(3d - ic)最近成为一种有前途的解决方案,可以克服2d - ic中的许多性能,面积和功耗问题。在本文中,我们提出了一个新的框架(MORPHEUS)用于合成特定应用的芯片上三维网络(noc)。目标是生成满足应用程序性能限制的3D noc,同时将功耗降至最低。MORPHEUS集成了热感知核心布局、3D拓扑和路由生成,以及网络接口(NIs)、路由器和串行垂直通硅孔(tsv)的放置。对多个芯片多处理器(CMP)应用的实验研究表明,我们生成的解决方案显著降低了2D noc的功耗(高达2.3倍)和平均延迟(高达1.2倍)。与先前针对特定应用的3D NoC合成工作的比较也显示出功耗(高达1.9倍)和平均延迟(高达1.6倍)的改进。
{"title":"A Framework for TSV Serialization-aware Synthesis of Application Specific 3D Networks-on-Chip","authors":"S. Pasricha","doi":"10.1109/VLSID.2012.82","DOIUrl":"https://doi.org/10.1109/VLSID.2012.82","url":null,"abstract":"With increasing performance-per-watt implementation requirements for emerging applications and barriers in interconnect scaling for ultra-deep sub micron (UDSM) technologies, traditional 2D integrated circuits (2D-ICs) are being pushed to their limit. Three dimensional integrated circuits (3D-ICs) have recently emerged as a promising solution that can overcome many of the performance, area, and power concerns in 2D-ICs. In this paper we propose a novel framework (MORPHEUS) for the synthesis of application-specific 3D networks on chip (NoCs). The goal is to generate 3D NoCs that meet application performance constraints while minimizing power dissipation. MORPHEUS incorporates thermal-aware core layout, 3D topology and route generation, and placement of network interfaces (NIs), routers, and serialized vertical through silicon vias (TSVs). Experimental studies on several chip multiprocessor (CMP) applications indicate that our generated solutions notably reduce power dissipation (up to 2.3×) and average latency (up to 1.2×) over 2D NoCs. Comparisons with a previous work on application-specific 3D NoC synthesis also show improvements in power dissipation (up to 1.9×) and average latency (up to 1.6×).","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"32 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114345434","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 19
Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis 可逆逻辑合成中的最小成本容错加法器电路
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.93
Sajib Kumar Mitra, A. Chowdhury
Conventional circuit dissipates energy to reload missing information because of overlapped mapping between input and output vectors. Reversibility recovers energy loss and prevents bit error by including Fault Tolerant mechanism. Reversible Computing is gaining the popularity of various fields such as Quantum Computing, DNA Informatics and CMOS Technology etc. In this paper, we have proposed the fault tolerant design of Reversible Full Adder (RFT-FA) with minimum quantum cost. Also we have proposed the cost effective design of Carry Skip Adder (CSA) and Carry Look-Ahead Adder (CLA) circuits by using proposed fault tolerant full adder circuit. The regular structures of n-bit Reversible Fault Tolerant Carry Skip Adder (RFT-CSA) and Carry Look-ahead Adder (RFT-CLA) by composing several theorems. Proposed designs have been populated by merging the minimization of total gates, garbage outputs, quantum cost and critical path delay criterion and comparing with exiting designs.
传统电路由于输入和输出矢量之间的重叠映射而消耗能量来重新加载丢失的信息。可逆性通过容错机制恢复能量损失和防止误码。可逆计算在量子计算、DNA信息学、CMOS技术等领域得到广泛应用。本文提出了一种量子成本最小的可逆全加法器(RFT-FA)容错设计方法。此外,我们还利用所提出的容错全加法器电路,提出了具有成本效益的进位跳过加法器(CSA)和进位超前加法器(CLA)电路的设计。由若干定理组成了n位可逆容错进位跳加器(RFT-CSA)和进位前瞻加器(RFT-CLA)的规则结构。将总门最小化、垃圾输出、量子代价和关键路径延迟准则合并在一起,并与现有设计进行了比较。
{"title":"Minimum Cost Fault Tolerant Adder Circuits in Reversible Logic Synthesis","authors":"Sajib Kumar Mitra, A. Chowdhury","doi":"10.1109/VLSID.2012.93","DOIUrl":"https://doi.org/10.1109/VLSID.2012.93","url":null,"abstract":"Conventional circuit dissipates energy to reload missing information because of overlapped mapping between input and output vectors. Reversibility recovers energy loss and prevents bit error by including Fault Tolerant mechanism. Reversible Computing is gaining the popularity of various fields such as Quantum Computing, DNA Informatics and CMOS Technology etc. In this paper, we have proposed the fault tolerant design of Reversible Full Adder (RFT-FA) with minimum quantum cost. Also we have proposed the cost effective design of Carry Skip Adder (CSA) and Carry Look-Ahead Adder (CLA) circuits by using proposed fault tolerant full adder circuit. The regular structures of n-bit Reversible Fault Tolerant Carry Skip Adder (RFT-CSA) and Carry Look-ahead Adder (RFT-CLA) by composing several theorems. Proposed designs have been populated by merging the minimization of total gates, garbage outputs, quantum cost and critical path delay criterion and comparing with exiting designs.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114626714","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 49
A Library for Passive Online Verification of Analog and Mixed-Signal Circuits 模拟和混合信号电路无源在线验证库
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.98
D. Pal, P. Dasgupta, S. Mukhopadhyay
The development and use of assertions in the Analog and Mixed-signal (AMS) domain is a subject which has attracted significant attention lately from the verification community. Recent studies have suggested that natural extensions of assertion languages (like PSL and SVA) into the AMS domain are not expressive enough to capture many AMS behaviors, and that a library of auxiliary AMS functions are needed along with the assertion language. The integration of auxiliary functions with the core fabric of a temporal logic is non-trivial and can be challenging for a verification engineer. In this paper we propose a purely library-based verification approach, where libraries for checking elementary properties can be naturally connected with libraries for auxiliary functions to monitor complex AMS behaviors. We study the modeling of behaviors with the proposed library, and outline the main challenges and their solutions towards implementing the verification library over commercial AMS simulators.
断言在模拟和混合信号(AMS)领域的发展和使用是最近引起验证界极大关注的一个主题。最近的研究表明,断言语言(如PSL和SVA)在AMS领域的自然扩展不足以表达许多AMS行为,并且需要一个辅助AMS函数库与断言语言一起使用。辅助功能与时间逻辑的核心结构的集成是非常重要的,并且对于验证工程师来说是具有挑战性的。在本文中,我们提出了一种纯粹基于库的验证方法,其中用于检查基本属性的库可以自然地与用于监视复杂AMS行为的辅助函数库连接起来。我们研究了所提出的库的行为建模,并概述了在商用AMS模拟器上实现验证库的主要挑战及其解决方案。
{"title":"A Library for Passive Online Verification of Analog and Mixed-Signal Circuits","authors":"D. Pal, P. Dasgupta, S. Mukhopadhyay","doi":"10.1109/VLSID.2012.98","DOIUrl":"https://doi.org/10.1109/VLSID.2012.98","url":null,"abstract":"The development and use of assertions in the Analog and Mixed-signal (AMS) domain is a subject which has attracted significant attention lately from the verification community. Recent studies have suggested that natural extensions of assertion languages (like PSL and SVA) into the AMS domain are not expressive enough to capture many AMS behaviors, and that a library of auxiliary AMS functions are needed along with the assertion language. The integration of auxiliary functions with the core fabric of a temporal logic is non-trivial and can be challenging for a verification engineer. In this paper we propose a purely library-based verification approach, where libraries for checking elementary properties can be naturally connected with libraries for auxiliary functions to monitor complex AMS behaviors. We study the modeling of behaviors with the proposed library, and outline the main challenges and their solutions towards implementing the verification library over commercial AMS simulators.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"194 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114995174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Low-Power Self Reconfigurable Multiplexer Based Decoder for Adaptive Resolution Flash ADCs 基于低功耗自重构多路复用器的自适应分辨率闪存adc解码器
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.84
C. Vudadha, G. Makkena, M. Nayudu, P. Phaneendra, Syed Ershad Ahmed, S. Veeramachaneni, N. Muthukrishnan, M. Srinivas
This paper presents a new improved multiplexer based decoder for flash analog-to-digital converters. The proposed decoder is based on 2:1 multiplexers. It calculates the binary code for low operand length thermometer code at initial stages and groups the output of initial stages to generate the final result. The proposed decoder can be configured to operate on thermometer code with reduced length without any extra overhead. This 'self-reconfigurable' property is particularly useful in adaptive resolution analog-to-digital converters. Simulation results indicate that the proposed decoder results in reduced delay, power and power delay product when compared to existing digital decoders for flash analog-digital converters.
本文提出了一种改进的基于多路复用器的flash模数转换器解码器。所提出的解码器基于2:1多路复用器。在初始阶段计算低操作数长度温度计码的二进制码,并对初始阶段的输出进行分组以生成最终结果。所提出的解码器可以配置为以减少长度的温度计代码操作,而不需要任何额外的开销。这种“自重构”特性在自适应分辨率模数转换器中特别有用。仿真结果表明,与现有的flash模数转换器的数字解码器相比,该解码器具有更低的延迟、功耗和功率延迟积。
{"title":"Low-Power Self Reconfigurable Multiplexer Based Decoder for Adaptive Resolution Flash ADCs","authors":"C. Vudadha, G. Makkena, M. Nayudu, P. Phaneendra, Syed Ershad Ahmed, S. Veeramachaneni, N. Muthukrishnan, M. Srinivas","doi":"10.1109/VLSID.2012.84","DOIUrl":"https://doi.org/10.1109/VLSID.2012.84","url":null,"abstract":"This paper presents a new improved multiplexer based decoder for flash analog-to-digital converters. The proposed decoder is based on 2:1 multiplexers. It calculates the binary code for low operand length thermometer code at initial stages and groups the output of initial stages to generate the final result. The proposed decoder can be configured to operate on thermometer code with reduced length without any extra overhead. This 'self-reconfigurable' property is particularly useful in adaptive resolution analog-to-digital converters. Simulation results indicate that the proposed decoder results in reduced delay, power and power delay product when compared to existing digital decoders for flash analog-digital converters.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"33 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123019174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
Tutorial T2: Reversible Logic: Fundamentals and Applications in Ultra-Low Power, Fault Testing and Emerging Nanotechnologies, and Challenges in Future 教程2:可逆逻辑:超低功耗,故障测试和新兴纳米技术的基础和应用,以及未来的挑战
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.29
H. Thapliyal, N. Ranganathan
Reversible logic is emerging as a promising computing paradigm with applications in ultralow power nanocomputing and emerging nanotechnologies such as quantum computing, quantum dot cellular automata (QCA), optical computing, etc. Reversible circuits are similar to conventional logic circuits except that they are built from reversible gates. In reversible gates, there is a unique, one-to-one mapping between the inputs and outputs, not the case with conventional logic. One of the primary motivations for adopting reversible logic lies in the fact that it can provide a logic design methodology for designing ultra-low power circuits beyond KTln2 limit for those emerging nanotechnologies in which the energy dissipated due to information destruction will be a significant factor of the overall heat dissipation. Further, logic circuits for quantum computers must be built from reversible logic components. Several important metrics need to be considered in the design of reversible circuits the importance of which needs to be discussed. Quantum computers of many qubits are extremely difficult to realize thus the number of qubits in the quantum circuits needs to be minimized. This sets the major objective of optimizing the number of ancilla inputs and the number of the garbage outputs in the reversible logic based quantum circuits. The constant input in the reversible quantum circuit is called the ancilla input, while the garbage output refers to the output which exists in the circuit just to maintain one-to-one mapping but is not a primary or a useful output. The reversible circuit has other important parameters of quantum cost and delay which need to be optimized.
可逆逻辑在超低功耗纳米计算和量子计算、量子点元胞自动机(QCA)、光学计算等新兴纳米技术中得到了广泛的应用。可逆电路与传统逻辑电路相似,不同之处在于它们是由可逆门构成的。在可逆门中,输入和输出之间有一个唯一的,一对一的映射,而不是传统逻辑的情况。采用可逆逻辑的主要动机之一是,它可以为那些新兴纳米技术提供一种逻辑设计方法,用于设计超过KTln2极限的超低功耗电路,其中由于信息破坏导致的能量耗散将是整体散热的重要因素。此外,量子计算机的逻辑电路必须由可逆逻辑元件构建。在可逆电路的设计中需要考虑几个重要的指标,其重要性需要讨论。多量子位元的量子计算机是极难实现的,因此需要最小化量子电路中的量子位元数量。这设置了优化基于可逆逻辑的量子电路中辅助输入和垃圾输出数量的主要目标。可逆量子电路中的常数输入称为辅助输入,而垃圾输出是指电路中仅为保持一对一映射而存在的输出,但不是主输出或有用输出。可逆电路还有量子成本和延迟等重要参数需要优化。
{"title":"Tutorial T2: Reversible Logic: Fundamentals and Applications in Ultra-Low Power, Fault Testing and Emerging Nanotechnologies, and Challenges in Future","authors":"H. Thapliyal, N. Ranganathan","doi":"10.1109/VLSID.2012.29","DOIUrl":"https://doi.org/10.1109/VLSID.2012.29","url":null,"abstract":"Reversible logic is emerging as a promising computing paradigm with applications in ultralow power nanocomputing and emerging nanotechnologies such as quantum computing, quantum dot cellular automata (QCA), optical computing, etc. Reversible circuits are similar to conventional logic circuits except that they are built from reversible gates. In reversible gates, there is a unique, one-to-one mapping between the inputs and outputs, not the case with conventional logic. One of the primary motivations for adopting reversible logic lies in the fact that it can provide a logic design methodology for designing ultra-low power circuits beyond KTln2 limit for those emerging nanotechnologies in which the energy dissipated due to information destruction will be a significant factor of the overall heat dissipation. Further, logic circuits for quantum computers must be built from reversible logic components. Several important metrics need to be considered in the design of reversible circuits the importance of which needs to be discussed. Quantum computers of many qubits are extremely difficult to realize thus the number of qubits in the quantum circuits needs to be minimized. This sets the major objective of optimizing the number of ancilla inputs and the number of the garbage outputs in the reversible logic based quantum circuits. The constant input in the reversible quantum circuit is called the ancilla input, while the garbage output refers to the output which exists in the circuit just to maintain one-to-one mapping but is not a primary or a useful output. The reversible circuit has other important parameters of quantum cost and delay which need to be optimized.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125295323","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
2012 25th International Conference on VLSI Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1