首页 > 最新文献

2012 25th International Conference on VLSI Design最新文献

英文 中文
Clock Tree Skew Minimization with Structured Routing 时钟树倾斜最小化与结构化路由
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.76
Pinaki Chakrabarti
One of the goals of clock tree synthesis in ASIC design flow is skew minimization. There are several approaches used in traditional clock tree synthesis tools to achieve this goal. However, many of the approaches create a large number of clock-buffer levels while others result in congested clock routing. Increase in buffer level and routing congestion essentially triggers the problem of increase in buffer area and total power. Also the performance of the circuit is degraded due to on-chip variation in such situations. For certain fan-out number restricted designs, a few proposals with H-tree routed clock nets have been proposed to reduce the skew, but those proposals can hardly be used across various designs used in industry. Here we propose a method where skew minimization is mainly achieved by structured routing of clock nets. Finally, we show that with this proposal, for a few real designs from industry, we could reduce the skew up to 6.5% with increase in total wire delay up to 1.89% compared to when simple H-tree routing was deployed.
在ASIC设计流程中,时钟树合成的目标之一是使偏差最小化。在传统的时钟树合成工具中,有几种方法可以实现这一目标。然而,许多方法会创建大量的时钟缓冲级别,而其他方法则会导致时钟路由拥塞。缓冲区级别的增加和路由拥塞本质上引发了缓冲区面积和总功率的增加问题。在这种情况下,由于芯片上的变化,电路的性能也会下降。对于某些扇形输出数量受限的设计,已经提出了一些使用h树路由时钟网来减少偏差的建议,但这些建议很难在工业中使用的各种设计中使用。在这里,我们提出了一种方法,其中斜最小化主要是通过时钟网的结构化路由来实现的。最后,我们表明,与部署简单的h树路由相比,对于来自工业的一些实际设计,我们可以将偏差减少到6.5%,总线延迟增加到1.89%。
{"title":"Clock Tree Skew Minimization with Structured Routing","authors":"Pinaki Chakrabarti","doi":"10.1109/VLSID.2012.76","DOIUrl":"https://doi.org/10.1109/VLSID.2012.76","url":null,"abstract":"One of the goals of clock tree synthesis in ASIC design flow is skew minimization. There are several approaches used in traditional clock tree synthesis tools to achieve this goal. However, many of the approaches create a large number of clock-buffer levels while others result in congested clock routing. Increase in buffer level and routing congestion essentially triggers the problem of increase in buffer area and total power. Also the performance of the circuit is degraded due to on-chip variation in such situations. For certain fan-out number restricted designs, a few proposals with H-tree routed clock nets have been proposed to reduce the skew, but those proposals can hardly be used across various designs used in industry. Here we propose a method where skew minimization is mainly achieved by structured routing of clock nets. Finally, we show that with this proposal, for a few real designs from industry, we could reduce the skew up to 6.5% with increase in total wire delay up to 1.89% compared to when simple H-tree routing was deployed.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121253518","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A 110-dB Dynamic Range, 76-dB Peak SNR Companding Continuous-Time ?S Modulator for Audio Applications 一个110 db动态范围,76 db峰值信噪比压缩音频应用连续时间调制器
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.45
Saravana Kumar, S. Chatterjee
This paper presents a companding continuous-time ΔΣ ADC for audio applications. The 3rd-order modulator uses a 3-bit companding quantizer and has an over sampling rate of 64. The companding quantizer is implemented by a log amplifier followed by a flash ADC. The modulator, in simulation, achieves a peak signal-to-noise ratio of 76 dB, a dynamic range of 110 dB in a 24 kHz bandwidth and dissipates 860 μW of power.
本文提出了一种用于音频应用的扩展连续时间ΔΣ模数转换器。三阶调制器采用3位压缩量化器,过采样率为64。压缩量化器由一个对数放大器和一个闪存ADC实现。仿真结果表明,该调制器在24 kHz带宽下的峰值信噪比为76 dB,动态范围为110 dB,功耗为860 μW。
{"title":"A 110-dB Dynamic Range, 76-dB Peak SNR Companding Continuous-Time ?S Modulator for Audio Applications","authors":"Saravana Kumar, S. Chatterjee","doi":"10.1109/VLSID.2012.45","DOIUrl":"https://doi.org/10.1109/VLSID.2012.45","url":null,"abstract":"This paper presents a companding continuous-time ΔΣ ADC for audio applications. The 3rd-order modulator uses a 3-bit companding quantizer and has an over sampling rate of 64. The companding quantizer is implemented by a log amplifier followed by a flash ADC. The modulator, in simulation, achieves a peak signal-to-noise ratio of 76 dB, a dynamic range of 110 dB in a 24 kHz bandwidth and dissipates 860 μW of power.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"89 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126929923","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
An Ultra-low Power Symbol Detection Methodology and Its Circuit Implementation for a Wake-up Receiver in Wireless Sensor Nodes 无线传感器节点唤醒接收机的超低功耗符号检测方法及其电路实现
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.83
D. K. Meher, A. Salimath, Achintya Halder
An RF envelope detector (ED) and an asynchronous latching circuit have been designed for a wake-up receiver in 400 MHz MICS and 433 MHz / 915 MHz ISM band. The architecture is designed to tolerate significant process, supply-voltage and temperature variations. An alternative bit encoding technique has been used, which eliminates the need for symbol synchronization and the associated circuitry. The power consumption of the entire circuit, which is designed using 1.8 V supply voltage and 180 nm CMOS process, is limited to 43 uW during symbol detection and is limited to 34 uW when no input signal activity is present in the receiver RF front-end. For an input current swing of ±3 μA from the RF front-end, the circuit successfully detects up to a 2.5 Mbps input data rate.
为400 MHz MICS和433 MHz / 915 MHz ISM频段的唤醒接收机设计了射频包络检测器(ED)和异步锁存电路。该架构的设计能够承受显著的工艺、电源电压和温度变化。采用了另一种比特编码技术,消除了对符号同步和相关电路的需要。整个电路采用1.8 V电源电压和180 nm CMOS工艺设计,在符号检测期间功耗限制为43 uW,在接收器射频前端没有输入信号活动时功耗限制为34 uW。当射频前端输入电流摆幅为±3 μA时,电路可成功检测到高达2.5 Mbps的输入数据速率。
{"title":"An Ultra-low Power Symbol Detection Methodology and Its Circuit Implementation for a Wake-up Receiver in Wireless Sensor Nodes","authors":"D. K. Meher, A. Salimath, Achintya Halder","doi":"10.1109/VLSID.2012.83","DOIUrl":"https://doi.org/10.1109/VLSID.2012.83","url":null,"abstract":"An RF envelope detector (ED) and an asynchronous latching circuit have been designed for a wake-up receiver in 400 MHz MICS and 433 MHz / 915 MHz ISM band. The architecture is designed to tolerate significant process, supply-voltage and temperature variations. An alternative bit encoding technique has been used, which eliminates the need for symbol synchronization and the associated circuitry. The power consumption of the entire circuit, which is designed using 1.8 V supply voltage and 180 nm CMOS process, is limited to 43 uW during symbol detection and is limited to 34 uW when no input signal activity is present in the receiver RF front-end. For an input current swing of ±3 μA from the RF front-end, the circuit successfully detects up to a 2.5 Mbps input data rate.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125684861","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
3-D Parasitic Modeling for Rotary Interconnects 旋转互连的三维寄生建模
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.60
V. Honkote, A. More, B. Taskin
Resonant rotary clocking is a high-frequency, low-power technology for high performance integrated circuits (IC). The implementation of the rotary clocking technology requires long interconnects with varying geometric shape segments on the chip, which are modeled by transmission lines. The parasitics exhibited by the transmission line interconnects play a major role in characterizing the high frequency operation. To this end, the impact of parasitics on the operating characteristics of the rotary rings due to the different interconnect segments are identified. The interconnect parasitics are analyzed using a 3D finite element method based full wave electromagnetic analysis. Simulations performed for the rotary ring with 3D full wave based parasitic analysis results in 23.68% reduced clock frequency when compared with a conventional 2D based parasitic analysis. The power dissipated on the rotary ring simulated using the 3D full wave based parasitic analysis is around 84% less than the clock tree and is within 5% of the power dissipated on the ring simulated using the 2D based parasitic analysis.
谐振旋转时钟是一种用于高性能集成电路(IC)的高频、低功耗技术。旋转时钟技术的实现需要芯片上具有不同几何形状段的长互连,这些互连由传输线建模。传输线互连所表现出的寄生特性在高频运行中起着重要的作用。为此,确定了由于不同互连段而产生的寄生对旋转环工作特性的影响。采用基于全波电磁分析的三维有限元方法对互连体的寄生特性进行了分析。基于三维全波寄生分析的旋转环的仿真结果表明,与传统的基于二维的寄生分析相比,时钟频率降低了23.68%。使用基于3D全波的寄生分析模拟的旋转环上的功耗比时钟树低84%左右,并且在使用基于2D的寄生分析模拟的环上的功耗的5%以内。
{"title":"3-D Parasitic Modeling for Rotary Interconnects","authors":"V. Honkote, A. More, B. Taskin","doi":"10.1109/VLSID.2012.60","DOIUrl":"https://doi.org/10.1109/VLSID.2012.60","url":null,"abstract":"Resonant rotary clocking is a high-frequency, low-power technology for high performance integrated circuits (IC). The implementation of the rotary clocking technology requires long interconnects with varying geometric shape segments on the chip, which are modeled by transmission lines. The parasitics exhibited by the transmission line interconnects play a major role in characterizing the high frequency operation. To this end, the impact of parasitics on the operating characteristics of the rotary rings due to the different interconnect segments are identified. The interconnect parasitics are analyzed using a 3D finite element method based full wave electromagnetic analysis. Simulations performed for the rotary ring with 3D full wave based parasitic analysis results in 23.68% reduced clock frequency when compared with a conventional 2D based parasitic analysis. The power dissipated on the rotary ring simulated using the 3D full wave based parasitic analysis is around 84% less than the clock tree and is within 5% of the power dissipated on the ring simulated using the 2D based parasitic analysis.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"11 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129189672","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Iterative Performance Model Upgradation in Geometric Programming Based Analog Circuit Sizing for Improved Design Accuracy 基于几何规划的模拟电路尺寸迭代性能模型升级,提高设计精度
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.100
S. Dam, P. Mandal
In this paper, we propose a technique to improve the accuracy of the final design predicted by Geometric Programming based CMOS analog circuit sizing methodology. Here we use a multi-level AC performance modeling paradigm to develop the empirical models of circuit performance metrics. Performance models are then upgraded over iterations of design cycle. This iterative model up gradation in a sequence of geometric programming guides the final design to converge with better accuracy. The methodology is validated by designing a two-stage amplifier cascaded with a Class-A (source-follower) output buffer stage in UMC 0.18 μm technology.
在本文中,我们提出了一种技术来提高基于几何规划的CMOS模拟电路尺寸方法预测的最终设计精度。在这里,我们使用一个多层次的交流性能建模范式来开发电路性能指标的经验模型。然后在设计周期的迭代中升级性能模型。这种迭代模型在一系列几何规划中逐级递增,指导最终设计以更好的精度收敛。通过设计一个采用UMC 0.18 μm技术的级联a级输出缓冲级的两级放大器,验证了该方法的有效性。
{"title":"Iterative Performance Model Upgradation in Geometric Programming Based Analog Circuit Sizing for Improved Design Accuracy","authors":"S. Dam, P. Mandal","doi":"10.1109/VLSID.2012.100","DOIUrl":"https://doi.org/10.1109/VLSID.2012.100","url":null,"abstract":"In this paper, we propose a technique to improve the accuracy of the final design predicted by Geometric Programming based CMOS analog circuit sizing methodology. Here we use a multi-level AC performance modeling paradigm to develop the empirical models of circuit performance metrics. Performance models are then upgraded over iterations of design cycle. This iterative model up gradation in a sequence of geometric programming guides the final design to converge with better accuracy. The methodology is validated by designing a two-stage amplifier cascaded with a Class-A (source-follower) output buffer stage in UMC 0.18 μm technology.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"48 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131914816","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A Heuristic Method for Co-optimization of Pin Assignment and Droplet Routing in Digital Microfluidic Biochip 数字微流控生物芯片引脚分配与液滴路径协同优化的启发式方法
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.75
R. Mukherjee, H. Rahaman, I. Banerjee, T. Samanta, P. Dasgupta
Design automation in Digital micro fluidic biochip is of immense importance in to days clinical diagnosis process. In this paper, we try to build a heuristic algorithm to simultaneously perform droplet routing and electrode actuation. The proposed method is capable of performing (i) droplet routing with minimal electrode usages in optimized routing completion time, and (ii) minimal number of control pin assignment on the routing path for successful droplet transportation. The proposed method is a co-optimization technique that finds the possible shortest path between the source and the target pair for a droplet and assigns control pins in an optimal manner to actuate the routing path. Intersection regions for multiple droplets are also assigned with pins in an efficient manner to avoid unnecessary mixing between several droplets. The proposed method is tested on various benchmarks and random test sets, and experimental results are quite encouraging.
数字微流控生物芯片的设计自动化在当今临床诊断过程中具有重要意义。在本文中,我们试图建立一个启发式算法,同时执行液滴路由和电极驱动。所提出的方法能够在优化的路由完成时间内以最小的电极使用量执行液滴路由,以及(ii)在成功液滴运输的路由路径上分配最小数量的控制引脚。所提出的方法是一种协同优化技术,它找到液滴源和目标对之间可能的最短路径,并以最优方式分配控制引脚来驱动路由路径。为了避免多个液滴之间不必要的混合,还对多个液滴的相交区域进行了有效的pin分配。该方法在各种基准测试和随机测试集上进行了测试,实验结果令人鼓舞。
{"title":"A Heuristic Method for Co-optimization of Pin Assignment and Droplet Routing in Digital Microfluidic Biochip","authors":"R. Mukherjee, H. Rahaman, I. Banerjee, T. Samanta, P. Dasgupta","doi":"10.1109/VLSID.2012.75","DOIUrl":"https://doi.org/10.1109/VLSID.2012.75","url":null,"abstract":"Design automation in Digital micro fluidic biochip is of immense importance in to days clinical diagnosis process. In this paper, we try to build a heuristic algorithm to simultaneously perform droplet routing and electrode actuation. The proposed method is capable of performing (i) droplet routing with minimal electrode usages in optimized routing completion time, and (ii) minimal number of control pin assignment on the routing path for successful droplet transportation. The proposed method is a co-optimization technique that finds the possible shortest path between the source and the target pair for a droplet and assigns control pins in an optimal manner to actuate the routing path. Intersection regions for multiple droplets are also assigned with pins in an efficient manner to avoid unnecessary mixing between several droplets. The proposed method is tested on various benchmarks and random test sets, and experimental results are quite encouraging.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"4 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116953682","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
Embedded Tutorial ET2: Digital Subscriber Line 嵌入式教程ET2:数字用户线路
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.41
M. K. K. Rao, V. ShanthaKumariP., B. Sellappan
Digital Subscriber Line (DSL) is a family of standards that allow existing twisted pair copper lines to carry modulated digital signals which uses telephone network and unused frequency Spectrum. In this tutorial we describe DSL (Digital Subscriber Line), comparing with POTS and ISDN. We explain the different DSL flavors available with the modulation techniques used and also discuss challenges in getting high performance and throughput, achieving xDSL rate and meeting the DSL standard. We begin by introducing DSL having a pair of modems CO (Central office) and CPE (Customer Premises Equipment) and talk about frequency spectrums. We explain the limitations of POTS (Plain Old Telephone System) with Dial-up Connection and ISDN (Integrated Services Digital Network), and advantages of DSL over POTS. Next we describe various flavors of DSL with their frequency spectrums, power enhancements, standardization, profiles, and band plans. We discuss different modulation techniques with their advantages and disadvantages, including Single carrier Modulation like CAP and QAM and Multi Carrier Modulation like DMT. We explain the interferers/noise: Line Attenuation, The channel attenuation, Bridged taps, Impulse noise, White noise, NEXT, FEXT, RE Interference. We conclude the tutorial with a description of full activation and initialization phases. The targeted audience for the tutorial is designers, developers, testers, people working in the area of VDSL, ADSL technology and People working on different platforms like DSLAM's, Central office, Customer premises equipment, Gateway products as well as products related to access network who are familiar with copper line and modems.
数字用户线(DSL)是一组标准,它允许现有的双绞线铜线使用电话网络和未使用的频谱传输调制数字信号。在本教程中,我们将描述DSL(数字用户线),并与POTS和ISDN进行比较。我们解释了使用调制技术的不同DSL风格,并讨论了在获得高性能和吞吐量、实现xDSL速率和满足DSL标准方面的挑战。我们首先介绍具有一对调制解调器CO(中央办公室)和CPE(客户场所设备)的DSL,并讨论频谱。我们解释了使用拨号连接的POTS(普通老式电话系统)和ISDN(综合业务数字网络)的局限性,以及DSL相对于POTS的优势。接下来,我们将介绍各种类型的DSL及其频谱、功率增强、标准化、配置文件和频带计划。我们讨论了不同的调制技术及其优缺点,包括单载波调制如CAP和QAM和多载波调制如DMT。我们解释干扰/噪声:线路衰减,信道衰减,桥接抽头,脉冲噪声,白噪声,NEXT, ext, RE干扰。我们以完整的激活和初始化阶段的描述来结束本教程。本教程的目标受众是设计师,开发人员,测试人员,在VDSL, ADSL技术领域工作的人员以及在DSLAM,中央办公室,客户端设备,网关产品以及与接入网相关的产品熟悉铜线和调制解调器的不同平台上工作的人员。
{"title":"Embedded Tutorial ET2: Digital Subscriber Line","authors":"M. K. K. Rao, V. ShanthaKumariP., B. Sellappan","doi":"10.1109/VLSID.2012.41","DOIUrl":"https://doi.org/10.1109/VLSID.2012.41","url":null,"abstract":"Digital Subscriber Line (DSL) is a family of standards that allow existing twisted pair copper lines to carry modulated digital signals which uses telephone network and unused frequency Spectrum. In this tutorial we describe DSL (Digital Subscriber Line), comparing with POTS and ISDN. We explain the different DSL flavors available with the modulation techniques used and also discuss challenges in getting high performance and throughput, achieving xDSL rate and meeting the DSL standard. We begin by introducing DSL having a pair of modems CO (Central office) and CPE (Customer Premises Equipment) and talk about frequency spectrums. We explain the limitations of POTS (Plain Old Telephone System) with Dial-up Connection and ISDN (Integrated Services Digital Network), and advantages of DSL over POTS. Next we describe various flavors of DSL with their frequency spectrums, power enhancements, standardization, profiles, and band plans. We discuss different modulation techniques with their advantages and disadvantages, including Single carrier Modulation like CAP and QAM and Multi Carrier Modulation like DMT. We explain the interferers/noise: Line Attenuation, The channel attenuation, Bridged taps, Impulse noise, White noise, NEXT, FEXT, RE Interference. We conclude the tutorial with a description of full activation and initialization phases. The targeted audience for the tutorial is designers, developers, testers, people working in the area of VDSL, ADSL technology and People working on different platforms like DSLAM's, Central office, Customer premises equipment, Gateway products as well as products related to access network who are familiar with copper line and modems.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"83 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126228679","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
GPU Implementation of a Programmable Turbo Decoder for Software Defined Radio Applications 用于软件无线电应用的可编程Turbo解码器的GPU实现
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.62
Dhiraj Reddy Nallapa Yoge, N. Chandrachoodan
This paper presents the implementation of a 3GPP standards compliant configurable turbo decoder on a GPU. The challenge in implementing a turbo decoder on a GPU is in suitably parallelizing the Log-MAP decoding algorithm and doing an architecture aware mapping of it on to the GPU. The approximations in parallelizing the Log-MAP algorithm come at the cost of reduced BER performance. To mitigate this reduction, different guarding mechanisms of varying computational complexity have been presented. The limited shared memory and registers available on GPUs are carefully allocated to obtain a high real-time decoding rate without requiring several independent data streams in parallel.
本文介绍了在GPU上实现符合3GPP标准的可配置turbo解码器。在GPU上实现turbo解码器的挑战在于适当地并行化Log-MAP解码算法,并将其映射到GPU上。并行化Log-MAP算法的近似代价是降低误码率性能。为了减轻这种减少,提出了不同计算复杂度的不同保护机制。gpu上有限的共享内存和寄存器被仔细分配,以获得高实时解码率,而不需要并行多个独立的数据流。
{"title":"GPU Implementation of a Programmable Turbo Decoder for Software Defined Radio Applications","authors":"Dhiraj Reddy Nallapa Yoge, N. Chandrachoodan","doi":"10.1109/VLSID.2012.62","DOIUrl":"https://doi.org/10.1109/VLSID.2012.62","url":null,"abstract":"This paper presents the implementation of a 3GPP standards compliant configurable turbo decoder on a GPU. The challenge in implementing a turbo decoder on a GPU is in suitably parallelizing the Log-MAP decoding algorithm and doing an architecture aware mapping of it on to the GPU. The approximations in parallelizing the Log-MAP algorithm come at the cost of reduced BER performance. To mitigate this reduction, different guarding mechanisms of varying computational complexity have been presented. The limited shared memory and registers available on GPUs are carefully allocated to obtain a high real-time decoding rate without requiring several independent data streams in parallel.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"129 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124232089","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
HD Resolution Intra Prediction Architecture for H.264 Decoder H.264解码器的高清分辨率帧内预测架构
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.55
Jimit Shah, K. S. Raghunandan, Kuruvilla Varghese
High performance video standards use prediction techniques to achieve high picture quality at low bit rates. The type of prediction decides the bit rates and the image quality. Intra Prediction achieves high video quality with significant reduction in bit rate. This paper presents novel area optimized architecture for Intra prediction of H.264 decoding at HDTV resolution. The architecture has been validated on a Xilinx Virtex-5 FPGA based platform and achieved a frame rate of 64 fps. The architecture is based on multi-level memory hierarchy to reduce latency and ensure optimum resources utilization. It removes redundancy by reusing same functional blocks across different modes. The proposed architecture uses only 13% of the total LUTs available on the Xilinx FPGA XC5VLX50T.
高性能视频标准使用预测技术在低比特率下实现高图像质量。预测的类型决定了比特率和图像质量。内预测实现高视频质量与显着降低比特率。提出了一种新的区域优化结构,用于HDTV分辨率下的H.264解码的帧内预测。该架构已在基于Xilinx Virtex-5 FPGA的平台上进行了验证,并实现了64 fps的帧速率。该架构基于多级内存层次结构,以减少延迟并确保最佳的资源利用率。它通过跨不同模式重用相同的功能块来消除冗余。所提出的架构仅使用了赛灵思FPGA XC5VLX50T上可用的总lut的13%。
{"title":"HD Resolution Intra Prediction Architecture for H.264 Decoder","authors":"Jimit Shah, K. S. Raghunandan, Kuruvilla Varghese","doi":"10.1109/VLSID.2012.55","DOIUrl":"https://doi.org/10.1109/VLSID.2012.55","url":null,"abstract":"High performance video standards use prediction techniques to achieve high picture quality at low bit rates. The type of prediction decides the bit rates and the image quality. Intra Prediction achieves high video quality with significant reduction in bit rate. This paper presents novel area optimized architecture for Intra prediction of H.264 decoding at HDTV resolution. The architecture has been validated on a Xilinx Virtex-5 FPGA based platform and achieved a frame rate of 64 fps. The architecture is based on multi-level memory hierarchy to reduce latency and ensure optimum resources utilization. It removes redundancy by reusing same functional blocks across different modes. The proposed architecture uses only 13% of the total LUTs available on the Xilinx FPGA XC5VLX50T.","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"25 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131708822","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Accurate Leakage Estimation for FinFET Standard Cells Using the Response Surface Methodology 用响应面法精确估计FinFET标准电池的泄漏
Pub Date : 2012-01-07 DOI: 10.1109/VLSID.2012.77
S. Chaudhuri, Prateek Mishra, N. Jha
Among different multi-gate transistors, Fin FETs and Trigate FETs have set themselves apart as the most promising candidates for the upcoming 22nm technology node and beyond owing to their superior device performance, lower leakage power consumption and cost-effective fabrication process. Innovative circuit design and optimization techniques will be required to harness the power of multi-gate transistors, which in turn will depend on accurate leakage and timing characterization of these devices under spatial and environmental variations. Hence, in order to aid circuit designers, we present accurate analytical models using central composite rotatable design (CCRD) based on response surface methodology (RSM) to estimate the leakage current in Fin FET standard cells under the effect of variations in gate length (LG), fin thickness (TSI), gate-oxide thickness (TOX) and gate-work function (ΦG). To the best of our knowledge, this is the first attempt to develop analytical models for leakage estimation of Fin FET devices/logic gates based on TCAD simulations of adjusted 2D device cross-sections that have been shown to track TCAD simulations of 3D device behavior within a 1-3% error range. This drastically reduces the CPU time of our modeling technique (by several orders of magnitude) without much loss in accuracy. We present analytical leakage models for different logic styles, e.g., shorted-gate (SG) and independent-gate (IG) Fin FETs, at the 22nm technology node. The leakage estimates derived from the analytical models are in close agreement with quasi-Monte Carlo (QMC) simulation results obtained for different adjusted-2D (3D) devices/logic gates with a maximum root mean square error (RMSE) of 5.28% (7.03%).
在不同的多栅极晶体管中,Fin fet和Trigate fet由于其优越的器件性能、更低的泄漏功耗和具有成本效益的制造工艺,已成为即将到来的22nm技术节点最有前途的候选者。创新的电路设计和优化技术将需要利用多栅极晶体管的功率,这反过来又取决于这些器件在空间和环境变化下的准确泄漏和定时特性。因此,为了帮助电路设计者,我们提出了基于响应面方法(RSM)的中心复合可旋转设计(CCRD)的精确分析模型,以估计在栅极长度(LG),鳍片厚度(TSI),栅极氧化厚度(TOX)和栅极功函数(ΦG)变化的影响下,Fin FET标准电池中的泄漏电流。据我们所知,这是基于调整后的2D器件横截面的TCAD模拟开发Fin FET器件/逻辑门泄漏估计的分析模型的第一次尝试,该模型已被证明可以在1-3%的误差范围内跟踪3D器件行为的TCAD模拟。这极大地减少了我们建模技术的CPU时间(减少了几个数量级),而精度没有很大的损失。我们提出了不同逻辑风格的解析泄漏模型,例如在22nm技术节点上的短门(SG)和独立门(IG) Fin fet。从分析模型中得出的泄漏估计与准蒙特卡罗(QMC)模拟结果非常吻合,不同的可调2d (3D)器件/逻辑门的最大均方根误差(RMSE)为5.28%(7.03%)。
{"title":"Accurate Leakage Estimation for FinFET Standard Cells Using the Response Surface Methodology","authors":"S. Chaudhuri, Prateek Mishra, N. Jha","doi":"10.1109/VLSID.2012.77","DOIUrl":"https://doi.org/10.1109/VLSID.2012.77","url":null,"abstract":"Among different multi-gate transistors, Fin FETs and Trigate FETs have set themselves apart as the most promising candidates for the upcoming 22nm technology node and beyond owing to their superior device performance, lower leakage power consumption and cost-effective fabrication process. Innovative circuit design and optimization techniques will be required to harness the power of multi-gate transistors, which in turn will depend on accurate leakage and timing characterization of these devices under spatial and environmental variations. Hence, in order to aid circuit designers, we present accurate analytical models using central composite rotatable design (CCRD) based on response surface methodology (RSM) to estimate the leakage current in Fin FET standard cells under the effect of variations in gate length (LG), fin thickness (TSI), gate-oxide thickness (TOX) and gate-work function (ΦG). To the best of our knowledge, this is the first attempt to develop analytical models for leakage estimation of Fin FET devices/logic gates based on TCAD simulations of adjusted 2D device cross-sections that have been shown to track TCAD simulations of 3D device behavior within a 1-3% error range. This drastically reduces the CPU time of our modeling technique (by several orders of magnitude) without much loss in accuracy. We present analytical leakage models for different logic styles, e.g., shorted-gate (SG) and independent-gate (IG) Fin FETs, at the 22nm technology node. The leakage estimates derived from the analytical models are in close agreement with quasi-Monte Carlo (QMC) simulation results obtained for different adjusted-2D (3D) devices/logic gates with a maximum root mean square error (RMSE) of 5.28% (7.03%).","PeriodicalId":405021,"journal":{"name":"2012 25th International Conference on VLSI Design","volume":"76 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2012-01-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120938921","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
期刊
2012 25th International Conference on VLSI Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1