首页 > 最新文献

IEEE Journal on Exploratory Solid-State Computational Devices and Circuits最新文献

英文 中文
Toward Fine-Grained Partitioning of Low-Level SRAM Caches for Emerging 3D-IC Designs 为新兴 3D-IC 设计实现低级 SRAM 缓存的精细分区
IF 2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-26 DOI: 10.1109/JXCDC.2024.3468386
Sudipta Das;Bhawana Kumari;Siva Satyendra Sahoo;Yukai Chen;James Myers;Dragomir Milojevic;Dwaipayan Biswas;Julien Ryckaert
Scaling on-chip memory capacity is one of the primary approaches to mitigate memory wall bottlenecks. Various 2.5-D/3-D integration schemes, leveraging novel partitioning, are being actively explored to improve system performance. However, fine-grained functional partitioning of memory macros is not widely reported. As static RAM (SRAM) scaling stagnates with emerging CMOS logic roadmap, we propose a partitioning of low-level (faster access) caches in 3-D using an array under CMOS (AuC) technology paradigm. Our study focuses on partitioning and optimization of SRAM bit-cells and peripheral circuits, enabling heterogeneous integration, achieving up to 12% higher operating frequency with 50% leakage power reduction in the memory macros. Applied on a 64-bit mobile system-on-chip (SoC) CPU core, we achieve up to 60% higher energy efficiency compared with 2-D baseline and 14% increase in operating frequency compared with standard memory-on-logic 3-D partitioning scheme.
扩大芯片内存容量是缓解内存墙瓶颈的主要方法之一。目前正在积极探索利用新型分区的各种 2.5-D/3-D 集成方案,以提高系统性能。然而,对内存宏进行细粒度功能分区的报道并不多见。随着新兴 CMOS 逻辑路线图的出现,静态 RAM (SRAM) 的扩展停滞不前,因此我们提出了利用 CMOS (AuC) 技术范例下的阵列对低级(访问速度更快)高速缓存进行三维分区的方案。我们的研究重点是对 SRAM 位元组和外围电路进行分区和优化,从而实现异构集成,将内存宏的工作频率提高 12%,漏电功率降低 50%。在应用于 64 位移动片上系统 (SoC) CPU 内核时,与 2-D 基线相比,我们实现了高达 60% 的能效提升,与标准逻辑存储器 3-D 分区方案相比,工作频率提高了 14%。
{"title":"Toward Fine-Grained Partitioning of Low-Level SRAM Caches for Emerging 3D-IC Designs","authors":"Sudipta Das;Bhawana Kumari;Siva Satyendra Sahoo;Yukai Chen;James Myers;Dragomir Milojevic;Dwaipayan Biswas;Julien Ryckaert","doi":"10.1109/JXCDC.2024.3468386","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3468386","url":null,"abstract":"Scaling on-chip memory capacity is one of the primary approaches to mitigate memory wall bottlenecks. Various 2.5-D/3-D integration schemes, leveraging novel partitioning, are being actively explored to improve system performance. However, fine-grained functional partitioning of memory macros is not widely reported. As static RAM (SRAM) scaling stagnates with emerging CMOS logic roadmap, we propose a partitioning of low-level (faster access) caches in 3-D using an array under CMOS (AuC) technology paradigm. Our study focuses on partitioning and optimization of SRAM bit-cells and peripheral circuits, enabling heterogeneous integration, achieving up to 12% higher operating frequency with 50% leakage power reduction in the memory macros. Applied on a 64-bit mobile system-on-chip (SoC) CPU core, we achieve up to 60% higher energy efficiency compared with 2-D baseline and 14% increase in operating frequency compared with standard memory-on-logic 3-D partitioning scheme.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"67-74"},"PeriodicalIF":2.0,"publicationDate":"2024-09-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10695147","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142440855","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Chisel Generator for Standardized 3-D Die-to-Die Interconnects 用于标准化 3-D 晶粒到晶粒互连的凿形发生器
IF 2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-16 DOI: 10.1109/JXCDC.2024.3461471
Harrison Liew;Farhana Sheikh;Jong-Ru Guo;Zuoguo Wu;Borivoje Nikolić
A 3-D heterogeneous integration (3-D-HI) is poised to enable a new era of high-performance integrated circuits via a multitude of benefits, including a reduction in I/O power consumption and ability to tightly couple disparate technologies. However, a significant hurdle toward enabling a chiplet ecosystem is the standardization of 3-D die-to-die (D2D) interconnects that facilitate rapid integration. Technology-driven constraints highlighted in published works demonstrate that a unique approach to 3-D D2D interconnect design and implementation is required, while preserving the ability to customize the interconnect to accommodate future technology concerns and applications with minimal overhead. This article presents a framework to generate customized 3-D D2D interconnect physical layers (PHYs) that are simultaneously standard-compliant, physical-aware, and can be automatically integrated into all stacked chiplets. The generator framework leverages the Chisel hardware description language to allow designers to do the following: 1) compile a port list directly into a PHY; 2) automate design and physical design (PD); and 3) perform design space exploration of interconnect features (e.g., bump map pitch, clocking architecture, and others). The 3-D PHY generator framework and features detailed in this work can be used to produce a reference implementation for a standard like UCIe-3-D, representing a significant paradigm shift from current specification and design methodologies for 2.5-D D2D interconnect (e.g., UCIe) implementations. This work concludes with the results of a redundancy design space exploration tradeoff study, showing the benefits of a proposed spatial coding redundancy scheme in an example PHY using emulated 9- $mu $ m hybrid bonding for a 4 Tx/4 Rx module array with 4:1 coding redundancy ratio.
三维异构集成(3-D-HI)具有多种优势,包括降低输入/输出功耗和紧密结合不同技术的能力,有望开创高性能集成电路的新时代。然而,实现芯片生态系统的一个重大障碍是促进快速集成的三维芯片到芯片(D2D)互连的标准化。已发表作品中强调的技术驱动限制表明,需要一种独特的 3-D D2D 互连设计和实施方法,同时保留定制互连的能力,以最小的开销适应未来的技术问题和应用。本文介绍了一种生成定制 3-D D2D 互连物理层(PHY)的框架,这种物理层同时符合标准、具有物理感知能力,并能自动集成到所有堆叠芯片中。生成器框架利用 Chisel 硬件描述语言,允许设计人员完成以下工作:1)将端口列表直接编译成 PHY;2)自动进行设计和物理设计(PD);3)对互连特性(如凸点映射间距、时钟架构等)进行设计空间探索。本研究中详细介绍的 3-D 物理层生成器框架和功能可用于为 UCIe-3-D 等标准生成参考实施方案,与当前的 2.5-D D2D 互连(如 UCIe)实施规范和设计方法相比,这是一个重大的范式转变。本研究最后介绍了冗余设计空间探索权衡研究的结果,显示了建议的空间编码冗余方案在一个使用仿真9- $mu $ m混合键合的4 Tx/4Rx模块阵列、编码冗余比为4:1的物理层中的优势。
{"title":"A Chisel Generator for Standardized 3-D Die-to-Die Interconnects","authors":"Harrison Liew;Farhana Sheikh;Jong-Ru Guo;Zuoguo Wu;Borivoje Nikolić","doi":"10.1109/JXCDC.2024.3461471","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3461471","url":null,"abstract":"A 3-D heterogeneous integration (3-D-HI) is poised to enable a new era of high-performance integrated circuits via a multitude of benefits, including a reduction in I/O power consumption and ability to tightly couple disparate technologies. However, a significant hurdle toward enabling a chiplet ecosystem is the standardization of 3-D die-to-die (D2D) interconnects that facilitate rapid integration. Technology-driven constraints highlighted in published works demonstrate that a unique approach to 3-D D2D interconnect design and implementation is required, while preserving the ability to customize the interconnect to accommodate future technology concerns and applications with minimal overhead. This article presents a framework to generate customized 3-D D2D interconnect physical layers (PHYs) that are simultaneously standard-compliant, physical-aware, and can be automatically integrated into all stacked chiplets. The generator framework leverages the Chisel hardware description language to allow designers to do the following: 1) compile a port list directly into a PHY; 2) automate design and physical design (PD); and 3) perform design space exploration of interconnect features (e.g., bump map pitch, clocking architecture, and others). The 3-D PHY generator framework and features detailed in this work can be used to produce a reference implementation for a standard like UCIe-3-D, representing a significant paradigm shift from current specification and design methodologies for 2.5-D D2D interconnect (e.g., UCIe) implementations. This work concludes with the results of a redundancy design space exploration tradeoff study, showing the benefits of a proposed spatial coding redundancy scheme in an example PHY using emulated 9-\u0000<inline-formula> <tex-math>$mu $ </tex-math></inline-formula>\u0000m hybrid bonding for a 4 Tx/4 Rx module array with 4:1 coding redundancy ratio.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"58-66"},"PeriodicalIF":2.0,"publicationDate":"2024-09-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10681023","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142408973","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
CMOS Single-Photon Avalanche Diode Circuits for Probabilistic Computing 用于概率计算的 CMOS 单光子雪崩二极管电路
IF 2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-29 DOI: 10.1109/JXCDC.2024.3452030
William Whitehead;Wonsik Oh;Luke Theogarajan
Intrinsically random hardware devices are increasingly attracting attention for their potential use in probabilistic computing architectures. One such device is the single-photon avalanche diode (SPAD) and an associated functional unit, the variable-rate SPAD circuit (VRSC), recently proposed by us as a source of randomness for sampling and annealing Ising and Potts models. This work develops a more advanced understanding of these VRSCs by introducing several VRSC design options and studying their tradeoffs as implemented in a 65-nm CMOS process. Each VRSC is composed of a SPAD and a processing circuit. Combinations of three different SPAD designs and three different types of processing circuits were evaluated on several metrics such as area, speed, and variability. Measured results from the SPAD design space show that even extremely small SPADs are suitable for probabilistic computing purposes, and that high dark count rates are not detrimental either, so SPADs for probabilistic computing are actually easier to integrate in standard CMOS processes. Results from the circuit design space show that the time-to-analog-based designs introduced in this work can produce highly exponential and analytical transfer functions, but that the less analytically tractable output of the previously proposed filter-based designs can achieve less variability in a smaller footprint. Probabilistic bits (P-bits) composed of the fabricated VRSCs achieve bit flip rates of 50 MHz and allow at least one order of magnitude of control over their simulated annealing temperature.
本征随机硬件设备因其在概率计算架构中的潜在用途而日益受到关注。单光子雪崩二极管(SPAD)和相关功能单元--可变速率 SPAD 电路(VRSC)就是这样一种设备,我们最近提出将其作为随机性来源,用于伊辛和波茨模型的采样和退火。本研究通过介绍几种 VRSC 设计方案,并研究在 65 纳米 CMOS 工艺中实现这些方案时的权衡,加深了对这些 VRSC 的理解。每个 VRSC 由一个 SPAD 和一个处理电路组成。对三种不同的 SPAD 设计和三种不同类型的处理电路的组合进行了面积、速度和可变性等指标的评估。SPAD 设计空间的测量结果表明,即使极小的 SPAD 也适用于概率计算,而且高暗计数率也不会对其造成损害,因此用于概率计算的 SPAD 实际上更容易集成到标准 CMOS 工艺中。电路设计空间的结果表明,本研究中引入的基于时间到模拟的设计可以产生高度指数化和分析性的传递函数,但之前提出的基于滤波器的设计的输出分析性较低,可以在较小的占位面积内实现较低的可变性。由制造的 VRSC 组成的概率位(P-bits)可实现 50 MHz 的位翻转率,并允许对其模拟退火温度进行至少一个数量级的控制。
{"title":"CMOS Single-Photon Avalanche Diode Circuits for Probabilistic Computing","authors":"William Whitehead;Wonsik Oh;Luke Theogarajan","doi":"10.1109/JXCDC.2024.3452030","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3452030","url":null,"abstract":"Intrinsically random hardware devices are increasingly attracting attention for their potential use in probabilistic computing architectures. One such device is the single-photon avalanche diode (SPAD) and an associated functional unit, the variable-rate SPAD circuit (VRSC), recently proposed by us as a source of randomness for sampling and annealing Ising and Potts models. This work develops a more advanced understanding of these VRSCs by introducing several VRSC design options and studying their tradeoffs as implemented in a 65-nm CMOS process. Each VRSC is composed of a SPAD and a processing circuit. Combinations of three different SPAD designs and three different types of processing circuits were evaluated on several metrics such as area, speed, and variability. Measured results from the SPAD design space show that even extremely small SPADs are suitable for probabilistic computing purposes, and that high dark count rates are not detrimental either, so SPADs for probabilistic computing are actually easier to integrate in standard CMOS processes. Results from the circuit design space show that the time-to-analog-based designs introduced in this work can produce highly exponential and analytical transfer functions, but that the less analytically tractable output of the previously proposed filter-based designs can achieve less variability in a smaller footprint. Probabilistic bits (P-bits) composed of the fabricated VRSCs achieve bit flip rates of 50 MHz and allow at least one order of magnitude of control over their simulated annealing temperature.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"49-57"},"PeriodicalIF":2.0,"publicationDate":"2024-08-29","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10659028","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142246389","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Monolithic 3-D-Based Nonvolatile Associative Processor for High-Performance Energy-Efficient Computations 基于三维非易失性关联处理器的高性能节能计算单片机
IF 2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-27 DOI: 10.1109/JXCDC.2024.3450810
Esteban Garzón;Alessandro Bedoya;Marco Lanuzza;Leonid Yavits
This article presents a monolithic 3-D associative in-memory processor (M3D AP) that combines emerging nonvolatile (NV) magnetic tunnel junction (MTJ) technology with massively parallel associative in-memory processing and M3D integration. The proposed architecture features two monolithic layers, with CMOS logic in the first layer and an MTJ-based content-addressable memory (CAM) array in the second layer. We conduct a thorough analysis of the electrical characteristics of the MTJ-based AP and use analysis results to evaluate the performance and power consumption of the M3D AP. We build a custom cycle-accurate simulator to implement and evaluate the 3-D associative matrix multiplication algorithm, highlighting the potential of the M3D AP for accelerating artificial intelligence applications. Overall, we demonstrate the efficacy of M3D AP and show that it holds promise for high-performance and energy-efficient in-memory computing.
本文介绍了一种单片式三维关联内存处理器(M3D AP),它将新兴的非易失性(NV)磁隧道结(MTJ)技术与大规模并行关联内存处理和 M3D 集成相结合。拟议的架构有两个单片层,第一层是 CMOS 逻辑,第二层是基于 MTJ 的内容寻址存储器(CAM)阵列。我们对基于 MTJ 的 AP 的电气特性进行了全面分析,并利用分析结果评估了 M3D AP 的性能和功耗。我们建立了一个定制的周期精确模拟器来实现和评估三维关联矩阵乘法算法,从而突出了 M3D AP 在加速人工智能应用方面的潜力。总之,我们证明了 M3D AP 的功效,并表明它有望实现高性能、高能效的内存计算。
{"title":"Monolithic 3-D-Based Nonvolatile Associative Processor for High-Performance Energy-Efficient Computations","authors":"Esteban Garzón;Alessandro Bedoya;Marco Lanuzza;Leonid Yavits","doi":"10.1109/JXCDC.2024.3450810","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3450810","url":null,"abstract":"This article presents a monolithic 3-D associative in-memory processor (M3D AP) that combines emerging nonvolatile (NV) magnetic tunnel junction (MTJ) technology with massively parallel associative in-memory processing and M3D integration. The proposed architecture features two monolithic layers, with CMOS logic in the first layer and an MTJ-based content-addressable memory (CAM) array in the second layer. We conduct a thorough analysis of the electrical characteristics of the MTJ-based AP and use analysis results to evaluate the performance and power consumption of the M3D AP. We build a custom cycle-accurate simulator to implement and evaluate the 3-D associative matrix multiplication algorithm, highlighting the potential of the M3D AP for accelerating artificial intelligence applications. Overall, we demonstrate the efficacy of M3D AP and show that it holds promise for high-performance and energy-efficient in-memory computing.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"40-48"},"PeriodicalIF":2.0,"publicationDate":"2024-08-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10649641","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142174008","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
MEFET-Based CAM/TCAM for Memory-Augmented Neural Networks 基于 MEFET 的内存增强型神经网络 CAM/TCAM
IF 2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-06-06 DOI: 10.1109/JXCDC.2024.3410681
Sai Sanjeet;Jonathan Bird;Bibhu Datta Sahoo
Memory-augmented neural networks (MANNs) require large external memories to enable long-term memory storage and retrieval. Content-addressable memory (CAM) is a type of memory used for high-speed searching applications and is well-suited for MANNs. Recent advances in exploratory nonvolatile devices have spurred the development of nonvolatile CAMs. However, these devices suffer from poor ON-OFF ratio, large write voltages, and long write times. This work proposes a nonvolatile ternary CAM (TCAM) using magnetoelectric field effect transistors (MEFETs). The energy and delay of various operations are simulated using the ASAP 7-nm predictive technology for the transistors and a Verilog-A model of the MEFET. The proposed structure achieves orders of magnitude improvement in search energy and $gt 45times $ improvement in search energy-delay product compared with prior works. The write energy and delay are also improved by $8times $ and $12times $ , respectively, compared with CAMs designed with other nonvolatile devices. A variability analysis is performed to study the effect of process variations on the CAM. The proposed CAM is then used to build a one-shot learning MANN and is benchmarked with the Modified National Institute of Standards and Technology (MNIST), extended MNIST (EMNIST), and labeled faces in the wild (LFW) datasets with binary embeddings, giving >99% accuracy on MNIST, a top-3 accuracy of 97.11% on the EMNIST dataset, and >97% accuracy on the LFW dataset, with embedding sizes of 16, 64, and 512, respectively. The proposed CAM is shown to be fast, energy-efficient, and scalable, making it suitable for MANNs.
记忆增强型神经网络(MANN)需要大型外部存储器来实现长期记忆存储和检索。内容可寻址存储器(CAM)是一种用于高速搜索应用的存储器,非常适合 MANN。最近在探索非易失性器件方面取得的进展推动了非易失性 CAM 的发展。然而,这些器件存在导通-关断比差、写入电压大和写入时间长等问题。本研究提出了一种使用磁电场效应晶体管(MEFET)的非易失三元 CAM(TCAM)。使用 ASAP 7 纳米晶体管预测技术和 MEFET 的 Verilog-A 模型模拟了各种操作的能量和延迟。与之前的研究相比,所提出的结构在搜索能量方面实现了数量级的改进,在搜索能量-延迟乘积方面实现了 45 倍的改进。与使用其他非易失性器件设计的 CAM 相比,写入能量和延迟也分别提高了 8 和 12 倍。为了研究工艺变化对 CAM 的影响,我们进行了变异性分析。提议的 CAM 随后被用于构建单次学习的 MANN,并使用二进制嵌入对修改后的美国国家标准与技术研究院 (MNIST)、扩展 MNIST (EMNIST) 和野外标记人脸 (LFW) 数据集进行了基准测试,结果表明,在嵌入大小分别为 16、64 和 512 的情况下,MNIST 的准确率大于 99%,EMNIST 数据集的前三名准确率为 97.11%,LFW 数据集的准确率大于 97%。研究表明,所提出的 CAM 速度快、能效高、可扩展,因此适用于城域网。
{"title":"MEFET-Based CAM/TCAM for Memory-Augmented Neural Networks","authors":"Sai Sanjeet;Jonathan Bird;Bibhu Datta Sahoo","doi":"10.1109/JXCDC.2024.3410681","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3410681","url":null,"abstract":"Memory-augmented neural networks (MANNs) require large external memories to enable long-term memory storage and retrieval. Content-addressable memory (CAM) is a type of memory used for high-speed searching applications and is well-suited for MANNs. Recent advances in exploratory nonvolatile devices have spurred the development of nonvolatile CAMs. However, these devices suffer from poor ON-OFF ratio, large write voltages, and long write times. This work proposes a nonvolatile ternary CAM (TCAM) using magnetoelectric field effect transistors (MEFETs). The energy and delay of various operations are simulated using the ASAP 7-nm predictive technology for the transistors and a Verilog-A model of the MEFET. The proposed structure achieves orders of magnitude improvement in search energy and \u0000<inline-formula> <tex-math>$gt 45times $ </tex-math></inline-formula>\u0000 improvement in search energy-delay product compared with prior works. The write energy and delay are also improved by \u0000<inline-formula> <tex-math>$8times $ </tex-math></inline-formula>\u0000 and \u0000<inline-formula> <tex-math>$12times $ </tex-math></inline-formula>\u0000, respectively, compared with CAMs designed with other nonvolatile devices. A variability analysis is performed to study the effect of process variations on the CAM. The proposed CAM is then used to build a one-shot learning MANN and is benchmarked with the Modified National Institute of Standards and Technology (MNIST), extended MNIST (EMNIST), and labeled faces in the wild (LFW) datasets with binary embeddings, giving >99% accuracy on MNIST, a top-3 accuracy of 97.11% on the EMNIST dataset, and >97% accuracy on the LFW dataset, with embedding sizes of 16, 64, and 512, respectively. The proposed CAM is shown to be fast, energy-efficient, and scalable, making it suitable for MANNs.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"31-39"},"PeriodicalIF":2.0,"publicationDate":"2024-06-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10550938","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141439499","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Energy-Accuracy Trade-Offs for Resistive In-Memory Computing Architectures 电阻式内存计算架构的能耗与精度权衡
IF 2.4 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-03-25 DOI: 10.1109/JXCDC.2024.3381888
Saion K. Roy;Naresh R. Shanbhag
Resistive in-memory computing (IMC) architectures currently lag behind SRAM IMCs and digital accelerators in both energy efficiency and compute density due to their low compute accuracy. This article proposes the use of signal-to-noise-plus-distortion ratio (SNDR) to quantify the compute accuracy of IMCs and identify the device, circuit, and architectural parameters that affect it. We further analyze the fundamental limits on the SNDR of magnetoresistive random access memory (MRAM-), resistive random access memory (ReRAM-), and ferroelectric field effect transistor (FeFET)-based IMCs employing parameter variation and noise models that were validated against measured results from a recent MRAM-based IMC prototype in a 22 nm process. At high-output signal magnitude, we can find that the maximum achievable SNDR is limited by the pre-analog-to-digital-converter (ADC) array nonidealities, such as the conductance variations (CVs), parasitic resistances, and current mirror mismatch (MM), whereas the ADC thermal (AT) noise limits the SNDR at small signal magnitudes. Furthermore, for large dot-product (DP) dimensions ( $N > 50$ ), the maximum achievable SNDR is highest for FeFET, followed by ReRAM and then MRAM. Finally, the increase in conductance contrast ( ${g_ {text {ON}} }/ {g_ {text {OFF}} }$ ) enhances the maximum achievable SNDR only until it reaches a value of approximately 12. ReRAMs and FeFETs demonstrate high energy efficiencies while achieving high SNDR, as their low conductance values lead to lower currents and lower noise due to wire parasitics. In all cases, across all three device types, DP dimension, ADC precision, and conductance contrast, the maximum achievable SNDR is found to be in the range of 18–22 dB, barely meeting the minimum needed for achieving an inference accuracy close to an equivalent fixed-point digital architecture. Finally, we demonstrate a network-level accuracy of 84.5% when mapping an ResNet-20 (CIFAR-10) by ReRAM-based architecture at a SNDR of 22 dB, in which MRAM- and FeFET-based architectures cannot realize. This result clearly implies the need for other approaches, e.g., algorithmic- and learning-based methods, to improve the inference accuracy of resistive IMC architectures.
电阻式内存计算(IMC)架构由于计算精度低,目前在能效和计算密度方面都落后于 SRAM IMC 和数字加速器。本文提出使用信号噪声加失真比 (SNDR) 来量化 IMC 的计算精度,并确定影响精度的器件、电路和架构参数。我们进一步分析了磁阻式随机存取存储器 (MRAM-)、电阻式随机存取存储器 (ReRAM-) 和基于铁电场效应晶体管 (FeFET) 的 IMC 的 SNDR 基本限制,并采用了参数变化和噪声模型,这些模型与最近在 22 纳米工艺中基于 MRAM 的 IMC 原型的测量结果进行了验证。我们发现,在高输出信号幅度下,可实现的最大 SNDR 受限于前模数转换器 (ADC) 阵列的非理想性,如电导变化 (CV)、寄生电阻和电流镜失配 (MM),而 ADC 热噪声 (AT) 则限制了小信号幅度下的 SNDR。此外,对于大点积(DP)尺寸($N > 50$),FeFET 可实现的最大 SNDR 最高,其次是 ReRAM,再次是 MRAM。最后,电导对比度(${g_ {text {ON}} }/ {g_ {text {OFF}} }$)的增加只会提高最大可实现 SNDR,直到达到约 12 的值。ReRAM 和 FeFET 在实现高 SNDR 的同时,还具有较高的能效,因为它们的低电导值导致了较低的电流和由导线寄生导致的较低噪声。在所有情况下,在所有三种器件类型、DP 尺寸、ADC 精度和电导对比中,我们发现可实现的最大 SNDR 在 18-22 dB 之间,勉强达到实现接近等效定点数字架构推理精度所需的最低值。最后,我们展示了基于 ReRAM 的架构在 SNDR 为 22 dB 时映射 ResNet-20 (CIFAR-10) 所达到的 84.5% 的网络级精度,而基于 MRAM 和 FeFET 的架构无法实现这一精度。这一结果清楚地表明,需要采用其他方法(如基于算法和学习的方法)来提高电阻式 IMC 架构的推理精度。
{"title":"Energy-Accuracy Trade-Offs for Resistive In-Memory Computing Architectures","authors":"Saion K. Roy;Naresh R. Shanbhag","doi":"10.1109/JXCDC.2024.3381888","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3381888","url":null,"abstract":"Resistive in-memory computing (IMC) architectures currently lag behind SRAM IMCs and digital accelerators in both energy efficiency and compute density due to their low compute accuracy. This article proposes the use of signal-to-noise-plus-distortion ratio (SNDR) to quantify the compute accuracy of IMCs and identify the device, circuit, and architectural parameters that affect it. We further analyze the fundamental limits on the SNDR of magnetoresistive random access memory (MRAM-), resistive random access memory (ReRAM-), and ferroelectric field effect transistor (FeFET)-based IMCs employing parameter variation and noise models that were validated against measured results from a recent MRAM-based IMC prototype in a 22 nm process. At high-output signal magnitude, we can find that the maximum achievable SNDR is limited by the pre-analog-to-digital-converter (ADC) array nonidealities, such as the conductance variations (CVs), parasitic resistances, and current mirror mismatch (MM), whereas the ADC thermal (AT) noise limits the SNDR at small signal magnitudes. Furthermore, for large dot-product (DP) dimensions (\u0000<inline-formula> <tex-math>$N &gt; 50$ </tex-math></inline-formula>\u0000), the maximum achievable SNDR is highest for FeFET, followed by ReRAM and then MRAM. Finally, the increase in conductance contrast (\u0000<inline-formula> <tex-math>${g_ {text {ON}} }/ {g_ {text {OFF}} }$ </tex-math></inline-formula>\u0000) enhances the maximum achievable SNDR only until it reaches a value of approximately 12. ReRAMs and FeFETs demonstrate high energy efficiencies while achieving high SNDR, as their low conductance values lead to lower currents and lower noise due to wire parasitics. In all cases, across all three device types, DP dimension, ADC precision, and conductance contrast, the maximum achievable SNDR is found to be in the range of 18–22 dB, barely meeting the minimum needed for achieving an inference accuracy close to an equivalent fixed-point digital architecture. Finally, we demonstrate a network-level accuracy of 84.5% when mapping an ResNet-20 (CIFAR-10) by ReRAM-based architecture at a SNDR of 22 dB, in which MRAM- and FeFET-based architectures cannot realize. This result clearly implies the need for other approaches, e.g., algorithmic- and learning-based methods, to improve the inference accuracy of resistive IMC architectures.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"22-30"},"PeriodicalIF":2.4,"publicationDate":"2024-03-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10478888","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140544290","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Impact of Technology Scaling and Back-End-of-the-Line Technology Solutions on Magnetic Random-Access Memories 技术扩展和后端技术解决方案对磁随机存取存储器的影响
IF 2.4 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-01-23 DOI: 10.1109/JXCDC.2024.3357625
Piyush Kumar;Da Eun Shim;Siri Narla;Azad Naeemi
While magnetic random-access memories (MRAMs) are promising because of their nonvolatility, relatively fast speeds, and high endurance, there are major challenges in adopting them for the advanced technology nodes. One of the major challenges in scaling MRAM devices is caused by the ever-increasing resistances of interconnects. In this article, we first study the impact of shrunk interconnect dimensions on MRAM performance at various technology nodes. Then, we investigate the impact of various potential back-end-of-the-line (BEOL) technology solutions at the 7 nm node. Based on interconnect resistance values from technology computer-aided design (TCAD) simulations and MRAM device characteristics from experimentally validated/calibrated physical models, we quantify the potential array-level performance of MRAM using SPICE simulations. We project that some potential BEOL technology solutions can reduce the write energy by up to 34.6% with spin–orbit torque (SOT) MRAM and 29.0% with spin-transfer torque (STT) MRAM. We also observe up to 21.4% reduction in the read energy of the SOT-MRAM arrays.
虽然磁性随机存取存储器(MRAM)因其非挥发性、相对较快的速度和较高的耐用性而前景广阔,但在先进技术节点上采用它们却面临着重大挑战。扩展 MRAM 器件的主要挑战之一是互连电阻的不断增加。在本文中,我们首先研究了在不同技术节点上缩小互连尺寸对 MRAM 性能的影响。然后,我们研究了 7 纳米节点上各种潜在的后端 (BEOL) 技术解决方案的影响。根据技术计算机辅助设计 (TCAD) 仿真得出的互连电阻值和实验验证/校准物理模型得出的 MRAM 器件特性,我们利用 SPICE 仿真量化了 MRAM 的潜在阵列级性能。我们预测,一些潜在的 BEOL 技术解决方案可以将自旋轨道力矩 (SOT) MRAM 的写入能量最多降低 34.6%,将自旋转移力矩 (STT) MRAM 的写入能量最多降低 29.0%。我们还发现,SOT-MRAM 阵列的读取能量最多可降低 21.4%。
{"title":"Impact of Technology Scaling and Back-End-of-the-Line Technology Solutions on Magnetic Random-Access Memories","authors":"Piyush Kumar;Da Eun Shim;Siri Narla;Azad Naeemi","doi":"10.1109/JXCDC.2024.3357625","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3357625","url":null,"abstract":"While magnetic random-access memories (MRAMs) are promising because of their nonvolatility, relatively fast speeds, and high endurance, there are major challenges in adopting them for the advanced technology nodes. One of the major challenges in scaling MRAM devices is caused by the ever-increasing resistances of interconnects. In this article, we first study the impact of shrunk interconnect dimensions on MRAM performance at various technology nodes. Then, we investigate the impact of various potential back-end-of-the-line (BEOL) technology solutions at the 7 nm node. Based on interconnect resistance values from technology computer-aided design (TCAD) simulations and MRAM device characteristics from experimentally validated/calibrated physical models, we quantify the potential array-level performance of MRAM using SPICE simulations. We project that some potential BEOL technology solutions can reduce the write energy by up to 34.6% with spin–orbit torque (SOT) MRAM and 29.0% with spin-transfer torque (STT) MRAM. We also observe up to 21.4% reduction in the read energy of the SOT-MRAM arrays.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"13-21"},"PeriodicalIF":2.4,"publicationDate":"2024-01-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10412202","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139732024","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Source Design of Vertical III–V Nanowire Tunnel Field-Effect Transistors 垂直 III-V 纳米线隧道场效应晶体管的源设计
IF 2.4 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-01-19 DOI: 10.1109/JXCDC.2024.3355949
Gautham Rangasamy;Zhongyunshen Zhu;Lars-Erik Wernersson
We systematically fabricate devices and analyze data for vertical InAs/(In)GaAsSb nanowire tunnel field-effect transistors (TFETs), to study the influence of source dopant position and level on their device performance. The results show that delaying the introduction of dopants further in the GaAsSb source segments improved the transistor metrics (subthreshold swing (SS) and the on-current performance), due to the formation of a nid-InAsSb segment. The devices display a minimum SS of 26 mV/dec and on-current of $10.2 ~mu text{A}/mu text{m}$ at $V_{text {DS}}$ of 300 mV. The performance of devices were improved further by optimizing the doping levels which led to record subthermal current of $1.2 ~mu text{A}/mu text{m}$ and transconductance of $205 ~mu text{S}/mu text{m}$ at $V_{text {DS}}$ of 500 mV.
我们系统地制造了垂直 InAs/(In)GaAsSb 纳米线隧道场效应晶体管 (TFET) 器件并分析了相关数据,以研究源掺杂剂位置和水平对其器件性能的影响。结果表明,由于形成了 nid-InAsSb 段,在 GaAsSb 源段中进一步延迟引入掺杂剂可改善晶体管的指标(亚阈值摆幅(SS)和导通电流性能)。在 300 mV 的 $V_{text {DS}}$条件下,器件的最小 SS 值为 26 mV/dec,导通电流为 10.2 ~mu text{A}/mu text{m}$。通过优化掺杂水平,器件的性能得到了进一步提高,在 500 mV 时,器件的过热电流达到了创纪录的 1.2 ~mu text{A}/mutext{m}$,电导率达到了 205 ~mu text{S}/mu text{m}$。
{"title":"Source Design of Vertical III–V Nanowire Tunnel Field-Effect Transistors","authors":"Gautham Rangasamy;Zhongyunshen Zhu;Lars-Erik Wernersson","doi":"10.1109/JXCDC.2024.3355949","DOIUrl":"https://doi.org/10.1109/JXCDC.2024.3355949","url":null,"abstract":"We systematically fabricate devices and analyze data for vertical InAs/(In)GaAsSb nanowire tunnel field-effect transistors (TFETs), to study the influence of source dopant position and level on their device performance. The results show that delaying the introduction of dopants further in the GaAsSb source segments improved the transistor metrics (subthreshold swing (SS) and the on-current performance), due to the formation of a nid-InAsSb segment. The devices display a minimum SS of 26 mV/dec and on-current of \u0000<inline-formula> <tex-math>$10.2 ~mu text{A}/mu text{m}$ </tex-math></inline-formula>\u0000 at \u0000<inline-formula> <tex-math>$V_{text {DS}}$ </tex-math></inline-formula>\u0000 of 300 mV. The performance of devices were improved further by optimizing the doping levels which led to record subthermal current of \u0000<inline-formula> <tex-math>$1.2 ~mu text{A}/mu text{m}$ </tex-math></inline-formula>\u0000 and transconductance of \u0000<inline-formula> <tex-math>$205 ~mu text{S}/mu text{m}$ </tex-math></inline-formula>\u0000 at \u0000<inline-formula> <tex-math>$V_{text {DS}}$ </tex-math></inline-formula>\u0000 of 500 mV.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"10 ","pages":"8-12"},"PeriodicalIF":2.4,"publicationDate":"2024-01-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10409158","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139727453","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits—Volume 9, No. 2 电气和电子工程师学会探索性固态计算器件和电路期刊》第 9 卷第 2 期
IF 2.4 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2023-12-01 DOI: 10.1109/JXCDC.2023.3349088
Azad Naeemi
Welcome to the seventh volume, second semiannual issue of IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC), a multidisciplinary, open-access IEEE journal that is focused on publishing seminal research in the exploration of energy-efficient computing based on physics and materials to enable new devices, circuits, and architecture that will be of great interest to integrated circuit researchers and those working in the IT industry. The articles in the journal are selectively chosen to provide insight into the architectural, circuit, and device implications of emerging quantum nanoelectronic and nanomagnetic device technologies. The discovery of new materials, devices, and circuits for energy-efficient computational circuits will be needed to enable Moore’s law to continue for computing beyond the end of the roadmap for CMOS technologies, with significant improvement in energy efficiency and cost per function.
欢迎阅读《IEEE 固态计算器件与电路探索期刊》(JXCDC)第七卷,这是第二期半月刊,该期刊是一份多学科、开放获取的 IEEE 期刊,主要刊登基于物理和材料探索高能效计算的开创性研究,以实现集成电路研究人员和 IT 行业从业人员非常感兴趣的新器件、电路和架构。期刊中的文章都是经过精挑细选的,旨在让读者深入了解新兴量子纳米电子和纳米磁性器件技术对架构、电路和设备的影响。要使摩尔定律在 CMOS 技术路线图结束后继续适用于计算领域,并显著提高能效和单位功能成本,就需要发现用于高能效计算电路的新材料、器件和电路。
{"title":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits—Volume 9, No. 2","authors":"Azad Naeemi","doi":"10.1109/JXCDC.2023.3349088","DOIUrl":"https://doi.org/10.1109/JXCDC.2023.3349088","url":null,"abstract":"Welcome to the seventh volume, second semiannual issue of IEEE Journal on Exploratory Solid-State Computational Devices and Circuits (JXCDC), a multidisciplinary, open-access IEEE journal that is focused on publishing seminal research in the exploration of energy-efficient computing based on physics and materials to enable new devices, circuits, and architecture that will be of great interest to integrated circuit researchers and those working in the IT industry. The articles in the journal are selectively chosen to provide insight into the architectural, circuit, and device implications of emerging quantum nanoelectronic and nanomagnetic device technologies. The discovery of new materials, devices, and circuits for energy-efficient computational circuits will be needed to enable Moore’s law to continue for computing beyond the end of the roadmap for CMOS technologies, with significant improvement in energy efficiency and cost per function.","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"9 2","pages":"ii-iii"},"PeriodicalIF":2.4,"publicationDate":"2023-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10406188","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139494229","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits publication information 电气和电子工程师学会固态计算器件和电路探索期刊》出版信息
IF 2.4 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2023-12-01 DOI: 10.1109/JXCDC.2023.3333712
{"title":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits publication information","authors":"","doi":"10.1109/JXCDC.2023.3333712","DOIUrl":"https://doi.org/10.1109/JXCDC.2023.3333712","url":null,"abstract":"","PeriodicalId":54149,"journal":{"name":"IEEE Journal on Exploratory Solid-State Computational Devices and Circuits","volume":"9 2","pages":"C2-C2"},"PeriodicalIF":2.4,"publicationDate":"2023-12-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10416972","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"139654258","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1