首页 > 最新文献

2010 IEEE International Solid-State Circuits Conference - (ISSCC)最新文献

英文 中文
A 20Gb/s 40mW equalizer in 90nm CMOS technology 采用90nm CMOS技术的20Gb/s 40mW均衡器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433999
S. Ibrahim, B. Razavi
In order to reduce the pin count of chips and the complexity of the routing on printed-circuit boards and backplanes, it is desirable to replace a large number of parallel channels with a few serial links. Such a transformation can also potentially save significant power because it lowers the number of output drivers while maintaining the I/O voltage swings and termination impedances relatively constant. It is therefore plausible that data rates approaching 20 Gb/s will become common in the near future. At these speeds, the loss of FR4 boards poses a great challenge, requiring heavy equalization. From circuit design point of view, it is simpler to employ linear equalization (in the transmitter and the receiver), but from system design point of view, two serious issues make this approach unattractive: the amplification of crosstalk and the lack of ability to equalize for impedance discontinuities (sharp notches in the channel frequency response). In an optimum, yet practical system, one would place 4 to 5 dB of linear equalization in the transmitter and a similar amount in the receiver, and perform the remaining equalization by means of a decision-feedback equalizer (DFE), thus alleviating both issues.
为了减少芯片的引脚数和减少印刷电路板和背板上布线的复杂性,用少量串行链路代替大量并行通道是可取的。这种转换还可以潜在地节省大量功率,因为它减少了输出驱动器的数量,同时保持I/O电压波动和终端阻抗相对恒定。因此,在不久的将来,接近20gb /s的数据速率将变得普遍。在这些速度下,FR4板的损耗带来了巨大的挑战,需要大量的均衡。从电路设计的角度来看,采用线性均衡(在发射器和接收器中)更简单,但从系统设计的角度来看,两个严重的问题使这种方法没有吸引力:串扰放大和缺乏对阻抗不连续(通道频率响应中的尖锐陷波)进行均衡的能力。在一个最佳的、实用的系统中,人们可以在发射器中放置4到5 dB的线性均衡,在接收器中放置相似的量,并通过决策反馈均衡器(DFE)执行剩余的均衡,从而缓解这两个问题。
{"title":"A 20Gb/s 40mW equalizer in 90nm CMOS technology","authors":"S. Ibrahim, B. Razavi","doi":"10.1109/ISSCC.2010.5433999","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433999","url":null,"abstract":"In order to reduce the pin count of chips and the complexity of the routing on printed-circuit boards and backplanes, it is desirable to replace a large number of parallel channels with a few serial links. Such a transformation can also potentially save significant power because it lowers the number of output drivers while maintaining the I/O voltage swings and termination impedances relatively constant. It is therefore plausible that data rates approaching 20 Gb/s will become common in the near future. At these speeds, the loss of FR4 boards poses a great challenge, requiring heavy equalization. From circuit design point of view, it is simpler to employ linear equalization (in the transmitter and the receiver), but from system design point of view, two serious issues make this approach unattractive: the amplification of crosstalk and the lack of ability to equalize for impedance discontinuities (sharp notches in the channel frequency response). In an optimum, yet practical system, one would place 4 to 5 dB of linear equalization in the transmitter and a similar amount in the receiver, and perform the remaining equalization by means of a decision-feedback equalizer (DFE), thus alleviating both issues.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85798328","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
A multistandard multiband mobile TV RF SoC in 65nm CMOS 一种采用65nm CMOS的多标准多频段移动电视射频SoC
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433847
Jae-Hong Chang, Huijung Kim, Jeong-Hyun Choi, Hangun Chung, Jungwook Heo, Sanghoon Kang, Jong-Dae Bae, Heetae Oh, Youngwoon Kim, T. Kwon, Ryan Kim, Wooseung Choo, D. Rhee, Byeong-ha Park
The mobile TV applications such as DVH-H/T, T-DMB/DAB, ISDB-T are recently emerging all over the world. To support various applications, the multistandard and multiband mobile TV RF tuner is developed as a cost-effective and size-effective solution [1]. The demand for smaller form factor and power consumption reduction of RF and Channel is very high, and SoC technology is being adopted to meet the customer's requirements. As shown in Fig. 25.7.1, an SoC that implements all of the standards and frequency bands of a mobile TV in a 65nm CMOS is presented in this paper. This RF SoC consists of three RF front ends, a dual-mode analog baseband filter supporting lowpass filtering and complex bandpass filtering, a digitally controlled programmable-gain amplifier (PGA), wideband VCO/PLL, DCXO, data converters, demodulator, forward error correction (FEC), hardwired multiprotocl encapsulation forward error correction (MPE-FEC), ARM CPU and SRAM. This mobile TV RF SoC reduces board area and complexity while cutting system design time.
近年来,DVH-H/T、T- dmb /DAB、ISDB-T等移动电视应用在世界范围内不断涌现。为了支持各种应用,开发了多标准多频段移动电视射频调谐器,作为一种成本效益高且尺寸有效的解决方案[1]。RF和Channel对更小的外形尺寸和降低功耗的需求非常高,SoC技术正在被采用以满足客户的要求。如图25.7.1所示,本文提出了一个在65nm CMOS中实现移动电视所有标准和频段的SoC。该射频SoC由三个射频前端组成,一个支持低通滤波和复杂带通滤波的双模模拟基带滤波器,一个数字控制可编程增益放大器(PGA),宽带VCO/PLL, DCXO,数据转换器,解调器,前向纠错(FEC),硬接线多协议封装前向纠错(MPE-FEC), ARM CPU和SRAM。这种移动电视射频SoC减少了电路板面积和复杂性,同时缩短了系统设计时间。
{"title":"A multistandard multiband mobile TV RF SoC in 65nm CMOS","authors":"Jae-Hong Chang, Huijung Kim, Jeong-Hyun Choi, Hangun Chung, Jungwook Heo, Sanghoon Kang, Jong-Dae Bae, Heetae Oh, Youngwoon Kim, T. Kwon, Ryan Kim, Wooseung Choo, D. Rhee, Byeong-ha Park","doi":"10.1109/ISSCC.2010.5433847","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433847","url":null,"abstract":"The mobile TV applications such as DVH-H/T, T-DMB/DAB, ISDB-T are recently emerging all over the world. To support various applications, the multistandard and multiband mobile TV RF tuner is developed as a cost-effective and size-effective solution [1]. The demand for smaller form factor and power consumption reduction of RF and Channel is very high, and SoC technology is being adopted to meet the customer's requirements. As shown in Fig. 25.7.1, an SoC that implements all of the standards and frequency bands of a mobile TV in a 65nm CMOS is presented in this paper. This RF SoC consists of three RF front ends, a dual-mode analog baseband filter supporting lowpass filtering and complex bandpass filtering, a digitally controlled programmable-gain amplifier (PGA), wideband VCO/PLL, DCXO, data converters, demodulator, forward error correction (FEC), hardwired multiprotocl encapsulation forward error correction (MPE-FEC), ARM CPU and SRAM. This mobile TV RF SoC reduces board area and complexity while cutting system design time.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72799930","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A 2.4GHz 830pJ/bit duty-cycled wake-up receiver with −82dBm sensitivity for crystal-less wireless sensor nodes 2.4GHz 830pJ/bit占空比唤醒接收器,灵敏度为−82dBm,用于无晶无线传感器节点
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433955
S. Drago, D. Leenaerts, F. Sebastiano, L. Breems, K. Makinwa, B. Nauta
This paper describes a 2.4GHz Wake-up Receiver (WuRx) designed to operate with low-accuracy (≪0.5%) frequency references [1], enabling crystal-less and thus low-cost wireless sensor nodes (WSNs). Robustness to frequency error is achieved by combining non-coherent energy detection with a broadband-IF superheterodyne architecture, and by using a pulse-position-modulated (PPM) impulse radio (IR) modulation scheme [2]. The Rx front-end and the LO generator are duty-cycled at pulse level, thereby reducing the power consumption to less than 420µW, which is more than adequate for use in WSNs [2]. PPM-IR also enables the realization of an interferer-robust receiver without the use of bulky off-chip RF filters [3,4]. This 65nm CMOS fully integrated WuRx employs a duty-cycled LO generator and achieves a sensitivity of −82dBm at a data rate of 500kb/s with an energy efficiency of 830pJ/bit.
本文介绍了一种2.4GHz唤醒接收器(WuRx),设计用于在低精度(≪0.5%)频率参考下工作[1],从而实现无晶体和低成本的无线传感器节点(wsn)。通过将非相干能量检测与宽带中频超外差架构相结合,并使用脉冲位置调制(PPM)脉冲无线电(IR)调制方案,实现了对频率误差的鲁棒性[2]。Rx前端和LO发生器在脉冲电平上占空比,从而将功耗降低到小于420µW,这对于wsn的使用来说绰绰有余[2]。PPM-IR还可以在不使用笨重的片外RF滤波器的情况下实现抗干扰接收器[3,4]。这款65nm CMOS完全集成的WuRx采用了一个占空比LO发生器,在500kb/s的数据速率下实现了−82dBm的灵敏度,能量效率为830pJ/bit。
{"title":"A 2.4GHz 830pJ/bit duty-cycled wake-up receiver with −82dBm sensitivity for crystal-less wireless sensor nodes","authors":"S. Drago, D. Leenaerts, F. Sebastiano, L. Breems, K. Makinwa, B. Nauta","doi":"10.1109/ISSCC.2010.5433955","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433955","url":null,"abstract":"This paper describes a 2.4GHz Wake-up Receiver (WuRx) designed to operate with low-accuracy (≪0.5%) frequency references [1], enabling crystal-less and thus low-cost wireless sensor nodes (WSNs). Robustness to frequency error is achieved by combining non-coherent energy detection with a broadband-IF superheterodyne architecture, and by using a pulse-position-modulated (PPM) impulse radio (IR) modulation scheme [2]. The Rx front-end and the LO generator are duty-cycled at pulse level, thereby reducing the power consumption to less than 420µW, which is more than adequate for use in WSNs [2]. PPM-IR also enables the realization of an interferer-robust receiver without the use of bulky off-chip RF filters [3,4]. This 65nm CMOS fully integrated WuRx employs a duty-cycled LO generator and achieves a sensitivity of −82dBm at a data rate of 500kb/s with an energy efficiency of 830pJ/bit.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73330713","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 110
A wire-speed powerTM processor: 2.3GHz 45nm SOI with 16 cores and 64 threads 线速powerTM处理器:2.3GHz 45nm SOI, 16核64线程
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434075
Charles L. Johnson, D. Allen, Jeffrey D. Brown, Steven P. Vanderwiel, R. Hoover, Heather D. Achilles, Chen-Yong Cher, G. May, H. Franke, J. Xenidis, C. Basso
An emerging data-center market merges network and server attributes into a single wire-speed processor SoC. These processors are not network endpoints that consume data, but inline processors that filter or modify data and send it on. Wire-speed processors merge attributes from 1) network processors: many threaded low power cores, accelerators, integrated network and memory I/O, smaller memory line sizes and low total power, and from 2) server processors: full ISA cores, standard programming models, OS and hypervisor support, full virtualization and server RAS & infrastructure. Typical applications are edge-of-network processing, intelligent I/O devices in servers, network attached appliances, distributed computing, and streaming applications.
新兴的数据中心市场将网络和服务器属性合并到单个线速处理器SoC中。这些处理器不是使用数据的网络端点,而是过滤或修改数据并发送数据的内联处理器。线速处理器融合了以下属性:1)网络处理器:多线程低功耗内核,加速器,集成网络和内存I/O,更小的内存线尺寸和低总功耗;2)服务器处理器:全ISA内核,标准编程模型,操作系统和管理程序支持,全虚拟化和服务器RAS &基础设施。典型的应用程序是网络边缘处理、服务器中的智能I/O设备、网络连接设备、分布式计算和流应用程序。
{"title":"A wire-speed powerTM processor: 2.3GHz 45nm SOI with 16 cores and 64 threads","authors":"Charles L. Johnson, D. Allen, Jeffrey D. Brown, Steven P. Vanderwiel, R. Hoover, Heather D. Achilles, Chen-Yong Cher, G. May, H. Franke, J. Xenidis, C. Basso","doi":"10.1109/ISSCC.2010.5434075","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434075","url":null,"abstract":"An emerging data-center market merges network and server attributes into a single wire-speed processor SoC. These processors are not network endpoints that consume data, but inline processors that filter or modify data and send it on. Wire-speed processors merge attributes from 1) network processors: many threaded low power cores, accelerators, integrated network and memory I/O, smaller memory line sizes and low total power, and from 2) server processors: full ISA cores, standard programming models, OS and hypervisor support, full virtualization and server RAS & infrastructure. Typical applications are edge-of-network processing, intelligent I/O devices in servers, network attached appliances, distributed computing, and streaming applications.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81403551","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 76
A low-noise frequency synthesizer for infrastructure applications 用于基础设施应用的低噪声频率合成器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433944
S. Farahvash, W. Roberts, Jake Easter, R. Wei, D. Stegmeir, Li Jin
Because of higher performance requirements, infrastructure transceivers have historically employed lower levels of on-chip integration than their handset counterparts. One of the main limiting components preventing on-chip integration is the Local Oscillator (LO). The phase noise performance of the LO and its switching speed are some of the most critical performance metrics for an infrastructure transceiver. The work presented here is a frequency synthesizer IC targeted at wireless infrastructure applications. Previous works on high-performance VCO design either did not address the large tuning bandwidth requirements [1] or lacked the required phase noise performance [2–3].
由于更高的性能要求,基础设施收发器历来采用较低水平的片上集成比他们的手机同行。阻碍片上集成的主要限制元件之一是本振(LO)。LO的相位噪声性能及其切换速度是基础收发器的一些最关键的性能指标。这里介绍的工作是针对无线基础设施应用的频率合成器IC。以前的高性能VCO设计工作要么没有解决大调谐带宽要求[1],要么缺乏所需的相位噪声性能[2-3]。
{"title":"A low-noise frequency synthesizer for infrastructure applications","authors":"S. Farahvash, W. Roberts, Jake Easter, R. Wei, D. Stegmeir, Li Jin","doi":"10.1109/ISSCC.2010.5433944","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433944","url":null,"abstract":"Because of higher performance requirements, infrastructure transceivers have historically employed lower levels of on-chip integration than their handset counterparts. One of the main limiting components preventing on-chip integration is the Local Oscillator (LO). The phase noise performance of the LO and its switching speed are some of the most critical performance metrics for an infrastructure transceiver. The work presented here is a frequency synthesizer IC targeted at wireless infrastructure applications. Previous works on high-performance VCO design either did not address the large tuning bandwidth requirements [1] or lacked the required phase noise performance [2–3].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87769925","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 2.1Mpixel 120frame/s CMOS image sensor with column-parallel ΔΣ ADC architecture 采用柱并行ΔΣ ADC架构的2.1Mpixel 120frame/s CMOS图像传感器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433974
Youngcheol Chae, Jimin Cheon, Seung-hyun Lim, Dongmyung Lee, Minho Kwon, Kwi-sung Yoo, Wun-ki Jung, Dong-Hun Lee, S. Ham, G. Han
Over the last few years, the demands for high-density and high-speed imaging have increased drastically. Since CMOS image sensors have the advantages of low power consumption and easy system integration, they have become dominant over CCDs in the consumer market [1–4]. A column-parallel ADC architecture is the most widely used ADC in CMOS image sensors for high-speed and low-power operation [2–6]. The column-parallel architecture can be classified as: successive-approximation register (SAR) [2], cyclic [3], single-slope (SS) [4], and delta-sigma (ΔΣ) [5,6] ADCs. Although SAR ADCs have been utilized for high-speed imaging, such as UDTV, they require a DAC in a column, whose area is unacceptably large for consumer electronics with a fine pixel pitch. Cyclic ADCs have also been reported in high-speed imaging, but they have high power consumption and high noise levels. Since SS ADCs provide relatively high resolution with minimum area, they have been widely used in CMOS image sensors. However, SS ADCs require very fast clock signals leading to high power consumption in the case of high-speed imaging. Although ΔΣ ADCs have been investigated for low-noise imaging, they have only been applied for low-speed imaging with large pixel pitch because of the complexity of ΔΣ modulators and following decimation filters.
在过去的几年中,对高密度和高速成像的需求急剧增加。由于CMOS图像传感器具有低功耗和易于系统集成的优点,因此它们在消费市场上已经超过了ccd[1-4]。列并行ADC架构是CMOS图像传感器中应用最广泛的高速低功耗ADC[2-6]。列并行结构可分为:逐次逼近寄存器(SAR)[2]、循环[3]、单斜率(SS)[4]和delta-sigma (ΔΣ) [5,6] adc。虽然SAR adc已被用于高速成像,如UDTV,但它们需要一个列中的DAC,其面积对于具有精细像素间距的消费电子产品来说是不可接受的大。循环adc也被报道用于高速成像,但它们具有高功耗和高噪声水平。由于SS adc以最小的面积提供了较高的分辨率,因此在CMOS图像传感器中得到了广泛的应用。然而,在高速成像的情况下,SS adc需要非常快的时钟信号,导致高功耗。虽然ΔΣ adc已被研究用于低噪声成像,但由于ΔΣ调制器和随后的抽取滤波器的复杂性,它们仅应用于大像素间距的低速成像。
{"title":"A 2.1Mpixel 120frame/s CMOS image sensor with column-parallel ΔΣ ADC architecture","authors":"Youngcheol Chae, Jimin Cheon, Seung-hyun Lim, Dongmyung Lee, Minho Kwon, Kwi-sung Yoo, Wun-ki Jung, Dong-Hun Lee, S. Ham, G. Han","doi":"10.1109/ISSCC.2010.5433974","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433974","url":null,"abstract":"Over the last few years, the demands for high-density and high-speed imaging have increased drastically. Since CMOS image sensors have the advantages of low power consumption and easy system integration, they have become dominant over CCDs in the consumer market [1–4]. A column-parallel ADC architecture is the most widely used ADC in CMOS image sensors for high-speed and low-power operation [2–6]. The column-parallel architecture can be classified as: successive-approximation register (SAR) [2], cyclic [3], single-slope (SS) [4], and delta-sigma (ΔΣ) [5,6] ADCs. Although SAR ADCs have been utilized for high-speed imaging, such as UDTV, they require a DAC in a column, whose area is unacceptably large for consumer electronics with a fine pixel pitch. Cyclic ADCs have also been reported in high-speed imaging, but they have high power consumption and high noise levels. Since SS ADCs provide relatively high resolution with minimum area, they have been widely used in CMOS image sensors. However, SS ADCs require very fast clock signals leading to high power consumption in the case of high-speed imaging. Although ΔΣ ADCs have been investigated for low-noise imaging, they have only been applied for low-speed imaging with large pixel pitch because of the complexity of ΔΣ modulators and following decimation filters.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86444995","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 101
A 12.3mW 12.5Gb/s complete transceiver in 65nm CMOS 一个采用65nm CMOS的12.3mW 12.5Gb/s完整收发器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433824
Koji Fukuda, H. Yamashita, G. Ono, R. Nemoto, Eiichi Suzuki, T. Takemoto, F. Yuki, T. Saito
For the people involved with multi-Gb/s chip-to-chip serial links, reducing power dissipation per Gb/s to less than 1mW/(Gb/s) (i.e., 1pJ/b) has been a long-held goal. Several years ago, the power dissipation of these links was in the range of about 10 to 20mW/(Gb/s). In 2007, Poulton et al. developed a 14mW 6.25Gb/s transceiver with power efficiency of 2.2mW/(Gb/s) [1]. Thereafter, there were some efforts aiming to reduce power of each building block in a transceiver [2, 3]. This paper presents a 12.3mW 12.5Gb/s complete transceiver (including CDR, MUX/DEMUX, and global clock distribution)in 65nm CMOS with power efficiency of 0.98mW/(Gb/s). To achieve low power, a resonant-clock distribution with distributed on-chip inductors and a low-swing voltage-mode driver with pulse-current boosting are used in the transmitter, while a symbol-rate comparator/phase detector using 4-stage sense amplifier and phase-rotating PLL with variable delay are used in the receiver.
对于涉及多Gb/s芯片对芯片串行链路的人来说,将每Gb/s的功耗降低到低于1mW/(Gb/s)(即1pJ/b)一直是一个长期的目标。几年前,这些链路的功耗大约在10到20mW/(Gb/s)之间。2007年,Poulton等人开发了14mW 6.25Gb/s的收发器,功率效率为2.2mW/(Gb/s)[1]。此后,有一些努力旨在降低收发器中每个构建模块的功率[2,3]。本文提出了一种功率效率为0.98mW/(Gb/s)的基于65nm CMOS的12.3mW 12.5Gb/s完整收发器(包括CDR、MUX/DEMUX和全局时钟分布)。为了实现低功耗,发射机采用了带有分布式片上电感的谐振时钟分布和带有脉冲电流增强的低摆幅电压模式驱动器,而接收机采用了带有4级感测放大器的符号速率比较器/鉴相器和可变延迟的相位旋转锁相环。
{"title":"A 12.3mW 12.5Gb/s complete transceiver in 65nm CMOS","authors":"Koji Fukuda, H. Yamashita, G. Ono, R. Nemoto, Eiichi Suzuki, T. Takemoto, F. Yuki, T. Saito","doi":"10.1109/ISSCC.2010.5433824","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433824","url":null,"abstract":"For the people involved with multi-Gb/s chip-to-chip serial links, reducing power dissipation per Gb/s to less than 1mW/(Gb/s) (i.e., 1pJ/b) has been a long-held goal. Several years ago, the power dissipation of these links was in the range of about 10 to 20mW/(Gb/s). In 2007, Poulton et al. developed a 14mW 6.25Gb/s transceiver with power efficiency of 2.2mW/(Gb/s) [1]. Thereafter, there were some efforts aiming to reduce power of each building block in a transceiver [2, 3]. This paper presents a 12.3mW 12.5Gb/s complete transceiver (including CDR, MUX/DEMUX, and global clock distribution)in 65nm CMOS with power efficiency of 0.98mW/(Gb/s). To achieve low power, a resonant-clock distribution with distributed on-chip inductors and a low-swing voltage-mode driver with pulse-current boosting are used in the transmitter, while a symbol-rate comparator/phase detector using 4-stage sense amplifier and phase-rotating PLL with variable delay are used in the receiver.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86633938","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 42
SRAM stability characterization using tunable ring oscillators in 45nm CMOS 在45nm CMOS中使用可调谐环形振荡器的SRAM稳定性表征
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433820
Jason Tsai, S. Toh, Z. Guo, L. Pang, T. Liu, B. Nikolić
SRAM yield is often characterized through distributions of static read or write margins [1] [2]. These measurements are analog and therefore can be slow and provide a limited dataset. Distributions of per-cell minimum operating voltages can be characterized rapidly, however, and are often taken as a proxy to static noise margins. Both methods have a common limitation in that the characterization is done statically, thus ignoring any possible effects that may affect dynamic operation. Pulsed ring oscillators for evaluating SRAM cell read timing have been previously proposed [3]. In contrast, tunable ring oscillators (RO) for characterizing dynamic cell stability during write and read operations without the need to modify the SRAM array are demonstrated in this work. The performance variation is captured as a spread in RO operating frequencies and therefore can be obtained rapidly.
SRAM产量通常通过静态读距或写距的分布来表征[1][2]。这些测量是模拟的,因此可能很慢,并提供有限的数据集。然而,每个电池最小工作电压的分布可以快速表征,并且通常作为静态噪声裕度的代表。这两种方法都有一个共同的局限性,即表征是静态完成的,因此忽略了可能影响动态操作的任何可能的影响。先前已经提出了用于评估SRAM单元读取时序的脉冲环形振荡器[3]。相比之下,可调环振荡器(RO)用于表征写入和读取操作期间的动态单元稳定性,而无需修改SRAM阵列。性能变化被捕获为RO工作频率的扩展,因此可以快速获得。
{"title":"SRAM stability characterization using tunable ring oscillators in 45nm CMOS","authors":"Jason Tsai, S. Toh, Z. Guo, L. Pang, T. Liu, B. Nikolić","doi":"10.1109/ISSCC.2010.5433820","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433820","url":null,"abstract":"SRAM yield is often characterized through distributions of static read or write margins [1] [2]. These measurements are analog and therefore can be slow and provide a limited dataset. Distributions of per-cell minimum operating voltages can be characterized rapidly, however, and are often taken as a proxy to static noise margins. Both methods have a common limitation in that the characterization is done statically, thus ignoring any possible effects that may affect dynamic operation. Pulsed ring oscillators for evaluating SRAM cell read timing have been previously proposed [3]. In contrast, tunable ring oscillators (RO) for characterizing dynamic cell stability during write and read operations without the need to modify the SRAM array are demonstrated in this work. The performance variation is captured as a spread in RO operating frequencies and therefore can be obtained rapidly.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90058973","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 18
A 2.2/3-inch 4K2K CMOS image sensor based on dual resolution and exposure technique 一种基于双分辨率曝光技术的2.2/3英寸4K2K CMOS图像传感器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433977
Takeo Azuma, T. Imagawa, Sanzo Ugawa, Yusuke Okada, H. Komobuchi, M. Ishii, S. Kasuga, Y. Kato
The recent trend in ultra-high-density cameras is running from HD to 4K2K, which will further extend to 8K4K / portable 4K2K. With advancements in device fabrication process technologies, there has been a pressing need for the miniaturization as well as high resolution and high sensitivity in image sensors [1].
超高密度相机的最新趋势是从高清到4K2K,并将进一步扩展到8K4K /便携式4K2K。随着器件制造工艺技术的进步,对图像传感器的小型化、高分辨率、高灵敏度提出了迫切要求[1]。
{"title":"A 2.2/3-inch 4K2K CMOS image sensor based on dual resolution and exposure technique","authors":"Takeo Azuma, T. Imagawa, Sanzo Ugawa, Yusuke Okada, H. Komobuchi, M. Ishii, S. Kasuga, Y. Kato","doi":"10.1109/ISSCC.2010.5433977","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433977","url":null,"abstract":"The recent trend in ultra-high-density cameras is running from HD to 4K2K, which will further extend to 8K4K / portable 4K2K. With advancements in device fabrication process technologies, there has been a pressing need for the miniaturization as well as high resolution and high sensitivity in image sensors [1].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79611443","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
The implementation of POWER7TM: A highly parallel and scalable multi-core high-end server processor POWER7TM的实现:一个高度并行和可伸缩的多核高端服务器处理器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434074
D. Wendel, R. Kalla, Robert Cargnoni, J. Clabes, J. Friedrich, R. Frech, J. Kahle, B. Sinharoy, William J. Starke, Scott A. Taylor, S. Weitzel, S. Chu, M. S. Islam, V. Zyuban
The next processor of the POWER ™ family, called POWER7™ is introduced. Eight quad-threaded cores are integrated together with two memory controllers and high-speed system links on a 567mm2 die, employing 1.2B transistors in 45nm CMOS SOI technology [4]. High on-chip performance and therefore bandwidth is achieved using 11 layers of low-к copper wiring and devices with enhanced dual-stress liners. The technology features deep trench [DT] capacitors that are used to build the 32MB embedded DRAM L3 based on a 0.067µm2 DRAM cell. DT capacitors are used also to reduce on-chip voltage-island supply noise. Focusing on speed, the dual-supply ripple-domino SRAM concepts follows the schemes described elsewhere.
POWER™家族的下一个处理器,称为POWER7™。在567mm2的芯片上集成了8个四线程内核、两个存储器控制器和高速系统链路,采用了45纳米CMOS SOI技术的12 b晶体管[4]。高片上性能和带宽是通过使用11层低电压铜线和带有增强双应力衬垫的设备实现的。该技术采用深沟槽(DT)电容器,用于构建基于0.067µm2 DRAM单元的32MB嵌入式DRAM L3。DT电容器还用于降低片上电压岛电源噪声。专注于速度,双电源涟漪多米诺SRAM概念遵循其他地方描述的方案。
{"title":"The implementation of POWER7TM: A highly parallel and scalable multi-core high-end server processor","authors":"D. Wendel, R. Kalla, Robert Cargnoni, J. Clabes, J. Friedrich, R. Frech, J. Kahle, B. Sinharoy, William J. Starke, Scott A. Taylor, S. Weitzel, S. Chu, M. S. Islam, V. Zyuban","doi":"10.1109/ISSCC.2010.5434074","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434074","url":null,"abstract":"The next processor of the POWER ™ family, called POWER7™ is introduced. Eight quad-threaded cores are integrated together with two memory controllers and high-speed system links on a 567mm2 die, employing 1.2B transistors in 45nm CMOS SOI technology [4]. High on-chip performance and therefore bandwidth is achieved using 11 layers of low-к copper wiring and devices with enhanced dual-stress liners. The technology features deep trench [DT] capacitors that are used to build the 32MB embedded DRAM L3 based on a 0.067µm2 DRAM cell. DT capacitors are used also to reduce on-chip voltage-island supply noise. Focusing on speed, the dual-supply ripple-domino SRAM concepts follows the schemes described elsewhere.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89317099","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 83
期刊
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1