首页 > 最新文献

2010 IEEE International Solid-State Circuits Conference - (ISSCC)最新文献

英文 中文
A 477mW NoC-based digital baseband for MIMO 4G SDR 一种477mW基于noc的MIMO 4G SDR数字基带
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433920
F. Clermidy, C. Bernard, R. Lemaire, Jérôme Martin, I. Panades, Y. Thonnart, P. Vivet, N. Wehn
Baseband processing for advanced Telecom applications have to face two contradictory issues [1]. The first one is the flexibility required, with the exploding number of modes for a single protocol (e.g. 63 for 3GPP-LTE), the number of protocols to be supported by a single chip (≫10 in 2010) and new applications requiring a handover between protocols. The second concern is related to performance and power consumption: performance demands are exploding (up to 100GOPS are now required) with decreasing power consumption constraints (roughly 500mW).
先进电信应用的基带处理面临两个相互矛盾的问题。第一个是所需的灵活性,单个协议的模式数量呈爆炸式增长(例如3GPP-LTE的63种模式),单个芯片支持的协议数量(2010年为10种)以及需要在协议之间切换的新应用程序。第二个问题与性能和功耗有关:性能需求正在爆炸式增长(现在需要高达100GOPS),而功耗限制正在减少(大约500mW)。
{"title":"A 477mW NoC-based digital baseband for MIMO 4G SDR","authors":"F. Clermidy, C. Bernard, R. Lemaire, Jérôme Martin, I. Panades, Y. Thonnart, P. Vivet, N. Wehn","doi":"10.1109/ISSCC.2010.5433920","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433920","url":null,"abstract":"Baseband processing for advanced Telecom applications have to face two contradictory issues [1]. The first one is the flexibility required, with the exploding number of modes for a single protocol (e.g. 63 for 3GPP-LTE), the number of protocols to be supported by a single chip (≫10 in 2010) and new applications requiring a handover between protocols. The second concern is related to performance and power consumption: performance demands are exploding (up to 100GOPS are now required) with decreasing power consumption constraints (roughly 500mW).","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78976906","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 107
Accurate characterization of random process variations using a robust low-voltage high-sensitivity sensor featuring replica-bias circuit 使用具有复制偏置电路的鲁棒低压高灵敏度传感器精确表征随机过程变化
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433991
M. Meterelliyoz, A. Goel, J. Kulkarni, K. Roy
Accurate and fast measurement and characterization of random threshold voltage (Vth) fluctuations is crucial in process optimization and yield learning, particularly for matching critical transistors such as SRAMs, sense amplifiers, differential amplifiers, etc. Traditional methods in which multiplexed devices under test (DUTs) are characterized using accurate current measurements require extensive data analysis [1–3]. A sense-amplifier based measurement method presented in [4] provides limited statistical data since it can measure the mismatch between only two devices. Recently, a digital array based technique is proposed in [5] with limited sensitivity. Finally, a sub-threshold technique presented in [6] provides high sensitivity but lacks on-chip calibration. This paper presents a low voltage, high sensitivity random process variations sensor utilizing an on-chip calibration circuit for improved accuracy. Moreover, the proposed sensor features a replica bias circuit which compensates global process-voltage-temperature (PVT) variations and maintains sensitivity for robust operation.
准确、快速地测量和表征随机阈值电压(Vth)波动对于工艺优化和良率学习至关重要,特别是对于匹配关键晶体管,如sram、感测放大器、差分放大器等。使用精确的电流测量来表征多路复用被测器件(dut)的传统方法需要大量的数据分析[1-3]。[4]中提出的基于传感器放大器的测量方法提供了有限的统计数据,因为它只能测量两个器件之间的不匹配。最近,[5]提出了一种基于数字阵列的有限灵敏度技术。最后,在[6]中提出的亚阈值技术提供了高灵敏度,但缺乏片上校准。本文提出了一种低电压、高灵敏度随机过程变化传感器,利用片上校准电路提高了精度。此外,所提出的传感器具有一个复制偏置电路,补偿全局过程电压-温度(PVT)变化,并保持灵敏度,以保证鲁棒性。
{"title":"Accurate characterization of random process variations using a robust low-voltage high-sensitivity sensor featuring replica-bias circuit","authors":"M. Meterelliyoz, A. Goel, J. Kulkarni, K. Roy","doi":"10.1109/ISSCC.2010.5433991","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433991","url":null,"abstract":"Accurate and fast measurement and characterization of random threshold voltage (Vth) fluctuations is crucial in process optimization and yield learning, particularly for matching critical transistors such as SRAMs, sense amplifiers, differential amplifiers, etc. Traditional methods in which multiplexed devices under test (DUTs) are characterized using accurate current measurements require extensive data analysis [1–3]. A sense-amplifier based measurement method presented in [4] provides limited statistical data since it can measure the mismatch between only two devices. Recently, a digital array based technique is proposed in [5] with limited sensitivity. Finally, a sub-threshold technique presented in [6] provides high sensitivity but lacks on-chip calibration. This paper presents a low voltage, high sensitivity random process variations sensor utilizing an on-chip calibration circuit for improved accuracy. Moreover, the proposed sensor features a replica bias circuit which compensates global process-voltage-temperature (PVT) variations and maintains sensitivity for robust operation.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72680324","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A 3MHz-BW 3.6GHz digital fractional-N PLL with sub-gate-delay TDC, phase-interpolation divider, and digital mismatch cancellation 一个3MHz-BW 3.6GHz数字分数n锁相环,具有子门延迟TDC,相位插值分频器和数字失配消除
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433842
Marco Zanuso, S. Levantino, C. Samori, A. Lacaita
Digital Fractional-N PLLs allows easy cancellation of ΔΣ quantization noise and spurs [1], [2]. However, the actual results depend dramatically on the linearity of the time-to-digital converter (TDC). This paper presents a 3MHz bandwidth fractional-N synthesizer, which combines a 4ps TDC with digital linearization algorithm and a feedback phase interpolator with mismatch cancellation algorithm. In contrast to other TDC linearization approaches [3], this structure allows multiplier-free computations, fast and accurate spur cancellation, as well as digital post-cancellation of phase errors induced by the phase interpolator mismatches, avoiding more complex calibration loops [4].
数字分数n锁相环可以轻松消除ΔΣ量化噪声和杂散[1],[2]。然而,实际结果很大程度上取决于时间-数字转换器(TDC)的线性度。本文提出了一种3MHz带宽的分数n合成器,该合成器结合了带数字线性化算法的4ps TDC和带错配消除算法的反馈相位插补器。与其他TDC线性化方法[3]相比,该结构允许无乘法器计算,快速准确的杂散抵消,以及由相位插补器不匹配引起的相位误差的数字后置抵消,避免了更复杂的校准环路[4]。
{"title":"A 3MHz-BW 3.6GHz digital fractional-N PLL with sub-gate-delay TDC, phase-interpolation divider, and digital mismatch cancellation","authors":"Marco Zanuso, S. Levantino, C. Samori, A. Lacaita","doi":"10.1109/ISSCC.2010.5433842","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433842","url":null,"abstract":"Digital Fractional-N PLLs allows easy cancellation of ΔΣ quantization noise and spurs [1], [2]. However, the actual results depend dramatically on the linearity of the time-to-digital converter (TDC). This paper presents a 3MHz bandwidth fractional-N synthesizer, which combines a 4ps TDC with digital linearization algorithm and a feedback phase interpolator with mismatch cancellation algorithm. In contrast to other TDC linearization approaches [3], this structure allows multiplier-free computations, fast and accurate spur cancellation, as well as digital post-cancellation of phase errors induced by the phase interpolator mismatches, avoiding more complex calibration loops [4].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88329696","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
A 40nm 16-core 128-thread CMT SPARC SoC processor 40nm 16核128线程CMT SPARC SoC处理器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434030
Jinuk Luke Shin, K. Tam, Dawei Huang, B. Petrick, H. Pham, C. Hwang, H. Li, Alan P. Smith, Timothy Johnson, F. Schumacher, D. Greenhill, A. Leon, Allan Strong
This next generation of Chip Multithreaded (CMT) SPARC SoC processor, code named Rainbow Falls, doubles on-chip thread count over its predecessor the UltraSparc T2+. The chip offers high levels of integration and scalability with twice the number of cores, a larger L2 cache, and higher maximum I/O bandwidth, within the same power envelope. Sixteen 8-threaded enhanced SPARC cores (SPC) provide 128 threads in a single die, delivering the highest thread count for a general-purpose microprocessor. The new cache coherency further allows up to 4-way glueless systems with a total of 512 threads. Each core communicates with the unified 6MB L2 cache through a crossbar (CCX) delivering 461GB/s (Fig. 5.2.1). A gasket (CXG) is also introduced to manage the congestion and synchronization of the massive interconnect between the 16 cores and the crossbar. This facilitates a synchronized delay control between any core and any L2 bank for partial core product binning and testing.
下一代芯片多线程(CMT) SPARC SoC处理器,代号为Rainbow Falls,片上线程数比其前身UltraSparc T2+增加了一倍。在相同的功率范围内,该芯片提供了高水平的集成和可扩展性,具有两倍的内核数量,更大的L2缓存和更高的最大I/O带宽。16个8线程增强型SPARC内核(SPC)在单个模具中提供128个线程,为通用微处理器提供最高的线程数。新的缓存一致性进一步允许总共512个线程的4路无胶合系统。每个核心通过传输461GB/s的交叉排(CCX)与统一的6MB L2缓存通信(图5.2.1)。此外,还引入了一个衬垫(CXG)来管理16芯与横杆之间大量互连的拥塞和同步。这有助于在任何核心和任何L2组之间进行同步延迟控制,用于部分核心产品的分组和测试。
{"title":"A 40nm 16-core 128-thread CMT SPARC SoC processor","authors":"Jinuk Luke Shin, K. Tam, Dawei Huang, B. Petrick, H. Pham, C. Hwang, H. Li, Alan P. Smith, Timothy Johnson, F. Schumacher, D. Greenhill, A. Leon, Allan Strong","doi":"10.1109/ISSCC.2010.5434030","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434030","url":null,"abstract":"This next generation of Chip Multithreaded (CMT) SPARC SoC processor, code named Rainbow Falls, doubles on-chip thread count over its predecessor the UltraSparc T2+. The chip offers high levels of integration and scalability with twice the number of cores, a larger L2 cache, and higher maximum I/O bandwidth, within the same power envelope. Sixteen 8-threaded enhanced SPARC cores (SPC) provide 128 threads in a single die, delivering the highest thread count for a general-purpose microprocessor. The new cache coherency further allows up to 4-way glueless systems with a total of 512 threads. Each core communicates with the unified 6MB L2 cache through a crossbar (CCX) delivering 461GB/s (Fig. 5.2.1). A gasket (CXG) is also introduced to manage the congestion and synchronization of the massive interconnect between the 16 cores and the crossbar. This facilitates a synchronized delay control between any core and any L2 bank for partial core product binning and testing.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91464924","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 79
A 10MHz 92.1%-efficiency green-mode automatic reconfigurable switching converter with adaptively compensated single-bound hysteresis control 具有自适应补偿单界迟滞控制的10MHz 92.1%效率绿模自动可重构开关变换器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433986
Chen Zheng, D. Ma
Nowadays switching DC-DC converters have become indispensable in power-efficient VLSI systems. As operation frequency increases, load fluctuations in such a device require high switching frequencies in DC-DC converters for fast transient response. Meanwhile, as the switching frequency, fs, increases, sizes of inductors (Ls) and capacitors (Cs) decrease with fs, allowing the use of smaller off-chip or even on-chip Ls and Cs. This not only reduces cost and space, but also allows a larger or better battery to be used, which in turn, improves the system run-time and performance. However, as fs increases, power loss at the power stage will increase roughly with √fs [1]. The efficiency is thus sacrificed for high-frequency operations. For example, for a converter that achieves 90% efficiency at 1MHz, when fs is increased to 10 MHz, power loss goes up by √10 times, causing the efficiency to drop below 70% [1]. On the other hand, as semiconductor industry is facing unprecedented power crisis, numerous power-management techniques have been recently developed. One major technique is called dynamic voltage scaling (DVS), in which a variable-output DC-DC converter is usually adopted to adjust supply voltage and operation frequency, based on instantaneous workload. Buck converter topology has been widely used for these applications. However, because a non-inverting flyback converter can achieve both step-up and step-down conversions, such a structure is more desirable in DVS-based applications to maximize power saving with a wider supply range [2]. However, compared to buck or boost converters, a non-inverting flyback converter requires two additional switches. As a result, both switching and conduction loss are doubled. Furthermore, the converter efficiency is greatly degraded (as shown in Fig. 10.5.1). However, it exhibits an obvious advantage when Vout is close to Vg, where the buck or boost converter experiences a sharp increase in power loss. In addition, as the duty ratio approaches 100%, the discharge (charge) period for a buck (boost) converter becomes extremely short, forcing the inductor to be charged at a much higher current level. It thus leads to significant power loss and switching noise, and imposes severe design constraints on the transient responses of the controller and buffers.
如今,开关DC-DC转换器已成为高效节能VLSI系统中不可或缺的一部分。随着工作频率的增加,该器件的负载波动要求DC-DC变换器的开关频率高,以实现快速的瞬态响应。同时,随着开关频率fs的增加,电感(Ls)和电容(Cs)的尺寸随fs减小,从而允许使用更小的片外甚至片内Ls和Cs。这不仅降低了成本和空间,而且还允许使用更大或更好的电池,这反过来又提高了系统的运行时间和性能。然而,随着fs的增加,功率级的功率损耗将大致增加√fs[1]。因此,高频操作牺牲了效率。例如,对于一个在1MHz时效率达到90%的转换器,当fs增加到10mhz时,功率损失增加10倍,导致效率下降到70%以下。另一方面,由于半导体工业正面临前所未有的电力危机,近年来出现了许多电力管理技术。其中一种主要技术被称为动态电压缩放(DVS),该技术通常采用可变输出DC-DC变换器,根据瞬时工作负载来调节电源电压和工作频率。Buck变换器拓扑结构已广泛应用于这些应用中。然而,由于非反相反激变换器可以实现升压和降压转换,因此这种结构在基于dvs的应用中更可取,以便在更宽的供电范围内最大限度地节省功率。然而,与降压或升压变换器相比,非反相反激变换器需要两个额外的开关。因此,开关损耗和传导损耗都增加了一倍。此外,变流器效率也大大降低(如图10.5.1所示)。然而,当Vout接近Vg时,它表现出明显的优势,此时降压或升压转换器的功率损耗急剧增加。此外,当占空比接近100%时,降压(升压)变换器的放电(充电)周期变得非常短,迫使电感在更高的电流水平上充电。因此,它会导致显著的功率损耗和开关噪声,并对控制器和缓冲器的瞬态响应施加严格的设计约束。
{"title":"A 10MHz 92.1%-efficiency green-mode automatic reconfigurable switching converter with adaptively compensated single-bound hysteresis control","authors":"Chen Zheng, D. Ma","doi":"10.1109/ISSCC.2010.5433986","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433986","url":null,"abstract":"Nowadays switching DC-DC converters have become indispensable in power-efficient VLSI systems. As operation frequency increases, load fluctuations in such a device require high switching frequencies in DC-DC converters for fast transient response. Meanwhile, as the switching frequency, fs, increases, sizes of inductors (Ls) and capacitors (Cs) decrease with fs, allowing the use of smaller off-chip or even on-chip Ls and Cs. This not only reduces cost and space, but also allows a larger or better battery to be used, which in turn, improves the system run-time and performance. However, as fs increases, power loss at the power stage will increase roughly with √fs [1]. The efficiency is thus sacrificed for high-frequency operations. For example, for a converter that achieves 90% efficiency at 1MHz, when fs is increased to 10 MHz, power loss goes up by √10 times, causing the efficiency to drop below 70% [1]. On the other hand, as semiconductor industry is facing unprecedented power crisis, numerous power-management techniques have been recently developed. One major technique is called dynamic voltage scaling (DVS), in which a variable-output DC-DC converter is usually adopted to adjust supply voltage and operation frequency, based on instantaneous workload. Buck converter topology has been widely used for these applications. However, because a non-inverting flyback converter can achieve both step-up and step-down conversions, such a structure is more desirable in DVS-based applications to maximize power saving with a wider supply range [2]. However, compared to buck or boost converters, a non-inverting flyback converter requires two additional switches. As a result, both switching and conduction loss are doubled. Furthermore, the converter efficiency is greatly degraded (as shown in Fig. 10.5.1). However, it exhibits an obvious advantage when Vout is close to Vg, where the buck or boost converter experiences a sharp increase in power loss. In addition, as the duty ratio approaches 100%, the discharge (charge) period for a buck (boost) converter becomes extremely short, forcing the inductor to be charged at a much higher current level. It thus leads to significant power loss and switching noise, and imposes severe design constraints on the transient responses of the controller and buffers.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87151449","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 39
ES6: Can we rebuild them? bionics beyond 2010 我们能重建它们吗?2010年后的仿生学
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433873
Maysam Ghovanloo, T. Denison
The terms “bionics” and “neuroprosthesis” understandably capture the engineers' imagination. Oftentimes, however, this fascination results more from science fiction than actual facts on the reality of unmet clinical needs and the real technical issues of designing a bionic system. Yet not all work in this field is sci-fi, and engineers working with clinical researchers and scientists have realized several milestones in the treatment of chronic diseases. Perhaps the most successful example of a bionic system is the cochlear prosthesis, which has helped restore a measure of hearing in over 150,000 patients, so far. Another active field is neuromodulation, where neurostimulators targeting specific neural circuits are being applied as a therapy for a variety of diseases including Parkinson's disease, incontinence, and obsessive compulsive disorder. Clearly, in the proper context, interfacing silicon circuits to the patients' neural circuits may achieve profound effects.
“仿生学”和“神经假肢”这两个术语可以理解地激发了工程师们的想象力。然而,通常情况下,这种迷恋更多地来自科幻小说,而不是现实中未满足的临床需求和设计仿生系统的实际技术问题。然而,这一领域并非所有的工作都是科幻小说,与临床研究人员和科学家合作的工程师已经在慢性疾病的治疗方面实现了几个里程碑。也许仿生系统最成功的例子是人工耳蜗,到目前为止,它已经帮助超过15万名患者恢复了一定程度的听力。另一个活跃的领域是神经调节,针对特定神经回路的神经刺激剂被用于治疗各种疾病,包括帕金森氏病、失禁和强迫症。显然,在适当的情况下,将硅电路连接到患者的神经回路可能会产生深远的影响。
{"title":"ES6: Can we rebuild them? bionics beyond 2010","authors":"Maysam Ghovanloo, T. Denison","doi":"10.1109/ISSCC.2010.5433873","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433873","url":null,"abstract":"The terms “bionics” and “neuroprosthesis” understandably capture the engineers' imagination. Oftentimes, however, this fascination results more from science fiction than actual facts on the reality of unmet clinical needs and the real technical issues of designing a bionic system. Yet not all work in this field is sci-fi, and engineers working with clinical researchers and scientists have realized several milestones in the treatment of chronic diseases. Perhaps the most successful example of a bionic system is the cochlear prosthesis, which has helped restore a measure of hearing in over 150,000 patients, so far. Another active field is neuromodulation, where neurostimulators targeting specific neural circuits are being applied as a therapy for a variety of diseases including Parkinson's disease, incontinence, and obsessive compulsive disorder. Clearly, in the proper context, interfacing silicon circuits to the patients' neural circuits may achieve profound effects.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87565876","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 2Gb/s network processor with a 24mW IPsec offload for residential gateways 一个2Gb/s的网络处理器,具有24mW的IPsec卸载,用于住宅网关
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433917
Y. Nishida, K. Kawai, K. Koike
The Internet has become an important tool to deliver services such as Voice over Internet Protocol (IP) and high-definition video. To enable the widespread use of these services, it is essential to ensure quality-of-service (QoS) and security protection in communication. In using consumer-oriented gateway equipment (GW) consisting of low-cost network processors (NPs), however, it is difficult to ensure QoS because the CPU load becomes 100% when packets are received at a traffic load of 1Gb/s. A packet engine (PE) achieving a throughput of 2Gb/s (i.e., bidirectional 1Gb/s communication) and offloading the network processing from CPUs solves the problem as shown in Fig. 15.4.1. The PE achieves a 2Gb/s throughput for all cases as shown in Fig. 15.4.2 as follows: (1) inline-type IPsec circuits whose transmitting/receiving blocks independently process enc/decryption, authentication, and encapsulation [1] achieve a total processing speed of 2Gb/s; (2) an IP-forwarding performance of 2Gb/s is achieved by adopting a high-speed, compact look-up circuit [1] that searches by providing an action rule from one memory read-out circuit to multiple comparators in an IP switch (IP-SW); and (3) a local-area-network switch (LAN-SW) achieves 5Gb/s forwarding by 5 parallel look-up engines and a high-speed internal packet buffer.
Internet已经成为提供诸如IP (Voice over Internet Protocol)和高清视频等服务的重要工具。为了使这些服务得到广泛使用,必须确保通信中的服务质量(QoS)和安全保护。然而,在使用由低成本网络处理器(NPs)组成的面向消费者的网关设备(GW)时,很难保证QoS,因为当流量负载为1Gb/s时,接收数据包的CPU负载变为100%。如图15.4.1所示,通过实现2Gb/s吞吐量(即双向1Gb/s通信)并将网络处理从cpu上卸载的分组引擎PE (packet engine)解决了这个问题。如图15.4.2所示,PE在所有情况下都实现了2Gb/s的吞吐量:(1)内联式IPsec电路,其发送/接收块独立处理加密/解密、认证和封装[1],总处理速度为2Gb/s;(2)采用高速、紧凑的查找电路[1]实现2Gb/s的IP转发性能,该电路通过在IP交换机(IP- sw)中提供从一个存储器读出电路到多个比较器的操作规则进行搜索;(3)局域网交换机(LAN-SW)通过5个并行查找引擎和一个高速内部数据包缓冲区实现5Gb/s转发。
{"title":"A 2Gb/s network processor with a 24mW IPsec offload for residential gateways","authors":"Y. Nishida, K. Kawai, K. Koike","doi":"10.1109/ISSCC.2010.5433917","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433917","url":null,"abstract":"The Internet has become an important tool to deliver services such as Voice over Internet Protocol (IP) and high-definition video. To enable the widespread use of these services, it is essential to ensure quality-of-service (QoS) and security protection in communication. In using consumer-oriented gateway equipment (GW) consisting of low-cost network processors (NPs), however, it is difficult to ensure QoS because the CPU load becomes 100% when packets are received at a traffic load of 1Gb/s. A packet engine (PE) achieving a throughput of 2Gb/s (i.e., bidirectional 1Gb/s communication) and offloading the network processing from CPUs solves the problem as shown in Fig. 15.4.1. The PE achieves a 2Gb/s throughput for all cases as shown in Fig. 15.4.2 as follows: (1) inline-type IPsec circuits whose transmitting/receiving blocks independently process enc/decryption, authentication, and encapsulation [1] achieve a total processing speed of 2Gb/s; (2) an IP-forwarding performance of 2Gb/s is achieved by adopting a high-speed, compact look-up circuit [1] that searches by providing an action rule from one memory read-out circuit to multiple comparators in an IP switch (IP-SW); and (3) a local-area-network switch (LAN-SW) achieves 5Gb/s forwarding by 5 parallel look-up engines and a high-speed internal packet buffer.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73524606","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
F6: Signal and power integrity for SoCs F6: soc的信号和电源完整性
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433856
D. Draper, F. Campi, R. Krishnamurthy, T. Miyamori, S. Morton, W. Sansen, V. Stojanović, J. Stonick
This Forum is directed toward researchers and designers working in advanced technologies over the next 3-5 years. They will be required to solve emerging issues of signal and power integrity in large, System-on-Chip applications which will raise issues of increasing difficulty. While struggling for higher performance, the designer must battle escalating noise and cross-talk. Interconnect delay and coupling will require new methods of routing and signal transmission. Supply-grid design will take increasing account of limited package and chip metalization through independent power domains, active and passive supply-noise cancellation, and voltage scaling. Increasingly- sensitive analog and RF circuit blocks must counter digital chip noise. Stringent clock-jitter and skew targets, and power-dissipation limitations will be addressed by independent clock domains, resonant clocking, and frequency scaling.
本次论坛面向未来3-5年从事先进技术研究的研究人员和设计人员。他们将需要解决大型系统芯片应用中出现的信号和电源完整性问题,这将带来越来越困难的问题。在努力提高性能的同时,设计师必须与不断升级的噪音和串音作斗争。互连延迟和耦合将需要新的路由和信号传输方法。通过独立的功率域、主动和被动电源噪声消除以及电压缩放,供电电网设计将越来越多地考虑到有限的封装和芯片金属化。越来越敏感的模拟和射频电路块必须对抗数字芯片噪声。严格的时钟抖动和倾斜目标,以及功耗限制将通过独立时钟域,谐振时钟和频率缩放来解决。
{"title":"F6: Signal and power integrity for SoCs","authors":"D. Draper, F. Campi, R. Krishnamurthy, T. Miyamori, S. Morton, W. Sansen, V. Stojanović, J. Stonick","doi":"10.1109/ISSCC.2010.5433856","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433856","url":null,"abstract":"This Forum is directed toward researchers and designers working in advanced technologies over the next 3-5 years. They will be required to solve emerging issues of signal and power integrity in large, System-on-Chip applications which will raise issues of increasing difficulty. While struggling for higher performance, the designer must battle escalating noise and cross-talk. Interconnect delay and coupling will require new methods of routing and signal transmission. Supply-grid design will take increasing account of limited package and chip metalization through independent power domains, active and passive supply-noise cancellation, and voltage scaling. Increasingly- sensitive analog and RF circuit blocks must counter digital chip noise. Stringent clock-jitter and skew targets, and power-dissipation limitations will be addressed by independent clock domains, resonant clocking, and frequency scaling.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84075320","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A fractional-sampling-rate ADC-based CDR with feedforward architecture in 65nm CMOS 一种基于分数采样率adc的65nm CMOS前馈CDR
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434004
Oleksiy Tyshchenko, A. Sheikholeslami, H. Tamura, Y. Tomita, H. Yamaguchi, M. Kibune, T. Yamamoto
ADC-based CDRs take digital samples of the received signal to recover the clock and data. Digital representation of the signal allows for extensive channel equalization in the digital domain. Recently-reported ADC-based CDRs sample the signal at 1× or 2× the baud rate. The 1× CDR aligns the sampling clock with the signal using a phase-tracking feedback loop [1–2], which requires a voltage-controlled oscillator or phase interpolator, both analog circuits, to adjust the phase of the sampling clock. To eliminate these analog circuits (and their phase control) in favor of an all-digital implementation, a blind-sampling ADC-based CDR (top of Fig. 8.6.1) samples the received signal at 2× without phase locking to the signal. The CDR then interpolates between the blind samples to obtain a new set of samples in order to recover the phase and data [3–4]. The doubling of the sampling rate, however, increases the ADC power consumption or, equivalently, reduces the maximum baud rate due to the conversion-rate limitations of ADCs.
基于adc的话单采集接收信号的数字采样来恢复时钟和数据。信号的数字表示允许在数字域中进行广泛的信道均衡。最近报道的基于adc的话单以1倍或2倍波特率采样信号。1x CDR使用相位跟踪反馈回路[1-2]将采样时钟与信号对齐,这需要电压控制振荡器或相位插值器,两者都是模拟电路,以调整采样时钟的相位。为了消除这些模拟电路(及其相位控制),采用全数字实现,基于adc的盲采样CDR(图8.6.1顶部)以2倍的频率对接收信号进行采样,而不锁定信号的相位。然后,CDR在盲样本之间进行插值,以获得一组新的样本,以恢复相位和数据[3-4]。然而,采样率的加倍增加了ADC的功耗,或者,由于ADC的转换速率限制,降低了最大波特率。
{"title":"A fractional-sampling-rate ADC-based CDR with feedforward architecture in 65nm CMOS","authors":"Oleksiy Tyshchenko, A. Sheikholeslami, H. Tamura, Y. Tomita, H. Yamaguchi, M. Kibune, T. Yamamoto","doi":"10.1109/ISSCC.2010.5434004","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434004","url":null,"abstract":"ADC-based CDRs take digital samples of the received signal to recover the clock and data. Digital representation of the signal allows for extensive channel equalization in the digital domain. Recently-reported ADC-based CDRs sample the signal at 1× or 2× the baud rate. The 1× CDR aligns the sampling clock with the signal using a phase-tracking feedback loop [1–2], which requires a voltage-controlled oscillator or phase interpolator, both analog circuits, to adjust the phase of the sampling clock. To eliminate these analog circuits (and their phase control) in favor of an all-digital implementation, a blind-sampling ADC-based CDR (top of Fig. 8.6.1) samples the received signal at 2× without phase locking to the signal. The CDR then interpolates between the blind samples to obtain a new set of samples in order to recover the phase and data [3–4]. The doubling of the sampling rate, however, increases the ADC power consumption or, equivalently, reduces the maximum baud rate due to the conversion-rate limitations of ADCs.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86745899","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A W-band 65nm CMOS transmitter front-end with 8GHz IF bandwidth and 20dB IR-ratio 具有8GHz中频带宽和20dB红外比的w波段65nm CMOS发射器前端
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433851
Dan Sandström, M. Varonen, M. Kärkkäinen, K. Halonen
A W-band transmitter front-end has been implemented in 65 nm CMOS. The output power is higher than +4 dBm from 77 GHz to 94 GHz with an image rejection ratio from 15 dB to 25 dB. The highest 1 dB output compression point is +2.2 dBm with +6.6 dBm maximum power at 85 GHz. The transmitter draws 100 mA from a 1.2 V supply.
在65nm CMOS中实现了w波段的前端发射机。在77 GHz ~ 94 GHz范围内输出功率大于+4 dBm,抑制比为15 dB ~ 25 dB。最高1db输出压缩点为+2.2 dBm, 85ghz时最大功率为+6.6 dBm。发射机从1.2 V电源提取100 mA。
{"title":"A W-band 65nm CMOS transmitter front-end with 8GHz IF bandwidth and 20dB IR-ratio","authors":"Dan Sandström, M. Varonen, M. Kärkkäinen, K. Halonen","doi":"10.1109/ISSCC.2010.5433851","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433851","url":null,"abstract":"A W-band transmitter front-end has been implemented in 65 nm CMOS. The output power is higher than +4 dBm from 77 GHz to 94 GHz with an image rejection ratio from 15 dB to 25 dB. The highest 1 dB output compression point is +2.2 dBm with +6.6 dBm maximum power at 85 GHz. The transmitter draws 100 mA from a 1.2 V supply.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84141614","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 21
期刊
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1