首页 > 最新文献

2010 IEEE International Solid-State Circuits Conference - (ISSCC)最新文献

英文 中文
An 8.5Gb/s CMOS OEIC with on-chip photodiode for short-distance optical communications 带片上光电二极管的8.5Gb/s CMOS OEIC用于短距离光通信
Pub Date : 2010-03-22 DOI: 10.1109/ISSCC.2010.5434720
Dongmyung Lee, Jung-Won Han, E. Chang, G. Han, Sung Min Park
Recently, low-cost silicon optoelectronic integrated circuits (OEICs) have been drawing attention for applications in short-distance optical communications such as chip-to-chip and board-to-board interconnects, LAN, data storage networks, etc [1–4]. Particularly, single-chip OEICs with on-chip silicon photodiodes provide a number of advantages including low cost, reduced ground-bounce, and bond-wire-induced coupling. Nevertheless, the slow response of silicon photodiodes in a standard CMOS process serves as a major bottleneck for high-speed communication [1]. To improve the bandwidth of silicon photodiodes, either some process modification or avalanche photodiode implementation has been developed. However, the former results in increased costs, whereas the latter has reliability issues. Although a differential photodiode configuration was originally proposed for bandwidth extension [2–4], the operation speed is still limited to several-hundred Mb/s. Meanwhile, the bandwidth can be extended by exploiting equalization filter [1, 3]. For relatively low-Gb/s operations, fixed equalization filter is sufficient, because photodiode responsivity is dominantly determined by diffusion currents which are not sensitive to process and temperature variations. For higher speeds, the responsivity becomes strongly dependent on the process and temperature variations, because it is mainly determined by the carrier mobility. Thereby, equalizers for high-Gb/s optical receivers require an adaptation algorithm to compensate the significant process and temperature variations. In this paper, an OEIC with on-chip photodiode is presented. Bandwidth and responsivity are compensated by a compact adaptive equalizer, thus achieving 8.5Gb/s operation.
近年来,低成本的硅光电集成电路(OEICs)在短距离光通信中的应用越来越受到关注,如片对片互连、板对板互连、局域网、数据存储网络等[1-4]。特别是,带有片上硅光电二极管的单片oeic具有许多优点,包括低成本、减少地面反弹和键线诱导耦合。然而,在标准CMOS工艺中,硅光电二极管的慢响应是高速通信的主要瓶颈[1]。为了提高硅光电二极管的带宽,一些工艺改进或雪崩光电二极管的实现已经被开发出来。然而,前者导致成本增加,而后者则存在可靠性问题。虽然差分光电二极管配置最初被提出用于带宽扩展[2-4],但操作速度仍然限制在几百Mb/s。同时,利用均衡滤波器[1,3]可以扩大带宽。对于相对低gb /s的操作,固定的均衡滤波器就足够了,因为光电二极管的响应主要由扩散电流决定,而扩散电流对工艺和温度变化不敏感。对于更高的速度,响应性变得强烈依赖于工艺和温度的变化,因为它主要是由载流子迁移率决定的。因此,高gb /s光接收机的均衡器需要一种自适应算法来补偿显著的过程和温度变化。本文介绍了一种带有片上光电二极管的OEIC。带宽和响应由一个紧凑的自适应均衡器补偿,从而实现8.5Gb/s的操作。
{"title":"An 8.5Gb/s CMOS OEIC with on-chip photodiode for short-distance optical communications","authors":"Dongmyung Lee, Jung-Won Han, E. Chang, G. Han, Sung Min Park","doi":"10.1109/ISSCC.2010.5434720","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434720","url":null,"abstract":"Recently, low-cost silicon optoelectronic integrated circuits (OEICs) have been drawing attention for applications in short-distance optical communications such as chip-to-chip and board-to-board interconnects, LAN, data storage networks, etc [1–4]. Particularly, single-chip OEICs with on-chip silicon photodiodes provide a number of advantages including low cost, reduced ground-bounce, and bond-wire-induced coupling. Nevertheless, the slow response of silicon photodiodes in a standard CMOS process serves as a major bottleneck for high-speed communication [1]. To improve the bandwidth of silicon photodiodes, either some process modification or avalanche photodiode implementation has been developed. However, the former results in increased costs, whereas the latter has reliability issues. Although a differential photodiode configuration was originally proposed for bandwidth extension [2–4], the operation speed is still limited to several-hundred Mb/s. Meanwhile, the bandwidth can be extended by exploiting equalization filter [1, 3]. For relatively low-Gb/s operations, fixed equalization filter is sufficient, because photodiode responsivity is dominantly determined by diffusion currents which are not sensitive to process and temperature variations. For higher speeds, the responsivity becomes strongly dependent on the process and temperature variations, because it is mainly determined by the carrier mobility. Thereby, equalizers for high-Gb/s optical receivers require an adaptation algorithm to compensate the significant process and temperature variations. In this paper, an OEIC with on-chip photodiode is presented. Bandwidth and responsivity are compensated by a compact adaptive equalizer, thus achieving 8.5Gb/s operation.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74271479","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance 一个45nm弹性和自适应微处理器核心的动态变化容忍
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433922
J. Tschanz, K. Bowman, Shih-Lien Lu, Paolo A. Aseron, M. Khellah, A. Raychowdhury, B. Geuskens, Carlos Tokunaga, C. Wilkerson, T. Karnik, V. De
Microprocessors experience a wide range of dynamic variations, including voltage droops, temperature changes, and device aging, which vary across applications and systems. The necessity of ensuring correct operation even under infrequent worst-case conditions results in clock frequency (FCLK) or supply voltage (VCC) guardbands that degrade performance and increase energy consumption. In this paper, a research microprocessor core is described with resilient and adaptive circuits to mitigate dynamic variation guardbands for maximizing throughput or minimizing energy. The resiliency features consist of embedded error-detection sequentials (EDS) [1-4] and tunable replica circuits (TRC) [5] in conjunction with error-recovery circuits to detect and correct timing errors. A new instruction-replay error-recovery technique is introduced to correct errant instructions with low performance cost and implementation overhead. In addition, the microprocessor contains an adaptive clock controller based on error statistics to operate at maximum efficiency across a range of dynamic variations.
微处理器经历了广泛的动态变化,包括电压下降、温度变化和器件老化,这些变化因应用程序和系统而异。即使在不常见的最坏情况下,也需要确保正确的操作,这导致时钟频率(FCLK)或电源电压(VCC)保护带降低了性能并增加了能耗。本文描述了一种具有弹性和自适应电路的研究微处理器内核,以减轻动态变化的保护带,以最大化吞吐量或最小化能量。弹性特性包括嵌入式错误检测序列(EDS)[1-4]和可调复制电路(TRC)[5],以及用于检测和纠正时序错误的错误恢复电路。提出了一种新的指令重放错误恢复技术,以较低的性能成本和实现开销来纠正错误指令。此外,微处理器包含一个基于误差统计的自适应时钟控制器,以便在一系列动态变化中以最高效率运行。
{"title":"A 45nm resilient and adaptive microprocessor core for dynamic variation tolerance","authors":"J. Tschanz, K. Bowman, Shih-Lien Lu, Paolo A. Aseron, M. Khellah, A. Raychowdhury, B. Geuskens, Carlos Tokunaga, C. Wilkerson, T. Karnik, V. De","doi":"10.1109/ISSCC.2010.5433922","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433922","url":null,"abstract":"Microprocessors experience a wide range of dynamic variations, including voltage droops, temperature changes, and device aging, which vary across applications and systems. The necessity of ensuring correct operation even under infrequent worst-case conditions results in clock frequency (FCLK) or supply voltage (VCC) guardbands that degrade performance and increase energy consumption. In this paper, a research microprocessor core is described with resilient and adaptive circuits to mitigate dynamic variation guardbands for maximizing throughput or minimizing energy. The resiliency features consist of embedded error-detection sequentials (EDS) [1-4] and tunable replica circuits (TRC) [5] in conjunction with error-recovery circuits to detect and correct timing errors. A new instruction-replay error-recovery technique is introduced to correct errant instructions with low performance cost and implementation overhead. In addition, the microprocessor contains an adaptive clock controller based on error statistics to operate at maximum efficiency across a range of dynamic variations.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78387240","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 69
A 2.1-to-2.8GHz all-digital frequency synthesizer with a time-windowed TDC 带时窗TDC的2.1- 2.8 ghz全数字频率合成器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433843
T. Tokairin, Mitsuji Okada, M. Kitsunezuka, T. Maeda, M. Fukaishi
All-digital phase-locked loops (ADPLLs) offer the advantages of eliminating the large on-chip passive filter and not suffering from poor low-supply-voltage operation with process scaling [1,2]. However, there is a challenge in achieving low power consumption at the same time as providing the low phase noise required in modern wireless systems like WiFi and WiMAX that have higher-order modulations. Recently, efforts improve phase noise have been accomplished by increasing the time resolution of the time-to-digital converter (TDC) using a gated ring-oscillator structure by using a multipath ring oscillator [3], 2-step structures based on a vernier delay line [4] or a time-amplifier [5,6]. However, these structures require large power consumption because they require many continuously operating high-speed delay-stages.
全数字锁相环(adpll)的优点是消除了大型片上无源滤波器,并且不会因过程缩放而遭受低电源电压运行不佳的困扰[1,2]。然而,在提供具有高阶调制的现代无线系统(如WiFi和WiMAX)所需的低相位噪声的同时实现低功耗是一个挑战。最近,通过使用多径环振荡器[3]、基于游标延迟线[4]的两步结构或时间放大器等门控环振荡器结构来提高时间-数字转换器(TDC)的时间分辨率,已经完成了改善相位噪声的工作[5,6]。然而,由于需要许多连续运行的高速延迟级,这些结构需要很大的功耗。
{"title":"A 2.1-to-2.8GHz all-digital frequency synthesizer with a time-windowed TDC","authors":"T. Tokairin, Mitsuji Okada, M. Kitsunezuka, T. Maeda, M. Fukaishi","doi":"10.1109/ISSCC.2010.5433843","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433843","url":null,"abstract":"All-digital phase-locked loops (ADPLLs) offer the advantages of eliminating the large on-chip passive filter and not suffering from poor low-supply-voltage operation with process scaling [1,2]. However, there is a challenge in achieving low power consumption at the same time as providing the low phase noise required in modern wireless systems like WiFi and WiMAX that have higher-order modulations. Recently, efforts improve phase noise have been accomplished by increasing the time resolution of the time-to-digital converter (TDC) using a gated ring-oscillator structure by using a multipath ring oscillator [3], 2-step structures based on a vernier delay line [4] or a time-amplifier [5,6]. However, these structures require large power consumption because they require many continuously operating high-speed delay-stages.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78444301","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
A wideband beamformer for a phased-array 60GHz receiver in 40nm digital CMOS 一种用于40nm数字CMOS相控阵60GHz接收机的宽带波束形成器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434061
K. Raczkowski, W. Raedt, B. Nauwelaers, P. Wambacq
For high-data-rate wireless communication in the 7GHz band around 60GHz, the IEEE 802.15.3c standard [1] provides channels with a 0.88GHz bandwidth for the AV-OFDM mode. For the single-carrier modes, the ECMA 387 standard [2] foresees the possibility of bonding together adjacent channels, yielding higher data-rates. Radios for these 60GHz standards often use phased antenna arrays to relax the link budget. A phased-array receiver needs a variable phase shift on each antenna path and a combiner that sums the signals from the individual paths after phase shifting. The beamforming circuitry presented here handles 4 paths. It can operate both with one 0.88GHz channel and with bonding of two such channels. Phase shifts are realized with a resolution better than 20°. Bandwidth is high thanks to the use of current amplifiers with very low input impedance.
对于60GHz左右的7GHz频段的高数据速率无线通信,IEEE 802.15.3c标准[1]为AV-OFDM模式提供了0.88GHz带宽的信道。对于单载波模式,ECMA 387标准[2]预见了将相邻信道结合在一起的可能性,从而产生更高的数据速率。这些60GHz标准的无线电通常使用相控天线阵列来放松链路预算。相控阵接收机需要在每个天线路径上有一个可变的相移和一个组合器,该组合器在相移后对来自各个路径的信号进行求和。这里给出的波束形成电路处理4个路径。它既可以工作在一个0.88GHz信道上,也可以工作在两个这样的信道上。相移的分辨率优于20°。由于使用了输入阻抗非常低的电流放大器,带宽很高。
{"title":"A wideband beamformer for a phased-array 60GHz receiver in 40nm digital CMOS","authors":"K. Raczkowski, W. Raedt, B. Nauwelaers, P. Wambacq","doi":"10.1109/ISSCC.2010.5434061","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434061","url":null,"abstract":"For high-data-rate wireless communication in the 7GHz band around 60GHz, the IEEE 802.15.3c standard [1] provides channels with a 0.88GHz bandwidth for the AV-OFDM mode. For the single-carrier modes, the ECMA 387 standard [2] foresees the possibility of bonding together adjacent channels, yielding higher data-rates. Radios for these 60GHz standards often use phased antenna arrays to relax the link budget. A phased-array receiver needs a variable phase shift on each antenna path and a combiner that sums the signals from the individual paths after phase shifting. The beamforming circuitry presented here handles 4 paths. It can operate both with one 0.88GHz channel and with bonding of two such channels. Phase shifts are realized with a resolution better than 20°. Bandwidth is high thanks to the use of current amplifiers with very low input impedance.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75920638","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 58
In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter 采用全数字自校准5ps分辨率时间-数字转换器的高性能处理器现场延迟松弛监视器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433996
D. Fick, Nurrachman Liu, Z. Foo, Matthew R. Fojtik, Jae-sun Seo, D. Sylvester, D. Blaauw
Advanced CMOS technologies have become highly susceptible to process, voltage, and temperature (PVT) variation. The standard approach for addressing this issue is to increase timing margin at the expense of power and performance. One approach to reclaim these losses relies on canary circuits [1] or sensors [2], which are simple to implement but cannot account for local variations. A more recent approach, called Razor, uses delay speculation coupled with error detection and correction to remove all margins but also imposes significant design complexity [3]. In this paper, we present a minimally-invasive in situ delay slack monitor that directly measures the timing margins on critical timing signals, allowing margins due to both global and local PVT variations to be removed.
先进的CMOS技术已经变得非常容易受到工艺,电压和温度(PVT)的变化。解决这个问题的标准方法是以功率和性能为代价来增加时间裕度。回收这些损失的一种方法依赖于金丝雀电路[1]或传感器[2],这很容易实现,但不能解释局部变化。最近的一种方法,称为Razor,使用延迟推测加上错误检测和纠正来消除所有的边距,但也增加了显著的设计复杂性[3]。在本文中,我们提出了一种微创原位延迟松弛监测仪,它直接测量关键定时信号的定时裕度,从而消除全局和局部PVT变化带来的裕度。
{"title":"In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5ps resolution time-to-digital converter","authors":"D. Fick, Nurrachman Liu, Z. Foo, Matthew R. Fojtik, Jae-sun Seo, D. Sylvester, D. Blaauw","doi":"10.1109/ISSCC.2010.5433996","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433996","url":null,"abstract":"Advanced CMOS technologies have become highly susceptible to process, voltage, and temperature (PVT) variation. The standard approach for addressing this issue is to increase timing margin at the expense of power and performance. One approach to reclaim these losses relies on canary circuits [1] or sensors [2], which are simple to implement but cannot account for local variations. A more recent approach, called Razor, uses delay speculation coupled with error detection and correction to remove all margins but also imposes significant design complexity [3]. In this paper, we present a minimally-invasive in situ delay slack monitor that directly measures the timing margins on critical timing signals, allowing margins due to both global and local PVT variations to be removed.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77410355","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 39
High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS 高带宽和低能量片上信号与自适应预强调在90nm CMOS
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433993
Jae-sun Seo, R. Ho, J. Lexau, Michael Dayringer, D. Sylvester, D. Blaauw
Long on-chip wires pose well-known latency, bandwidth, and energy challenges to the designers of high-performance VLSI systems. Repeaters effectively mitigate wire RC effects but do little to improve their energy costs. Moreover, proliferating repeater farms add significant complexity to full-chip integration, motivating circuits to improve wire performance and energy while reducing the number of repeaters. Such methods include capacitive-mode signaling, which combines a capacitive driver with a capacitive load [1,2]; and current-mode signaling, which pairs a resistive driver with a resistive load [3,4]. While both can significantly improve wire performance, capacitive drivers offer added benefits of reduced voltage swing on the wire and intrinsic driver pre-emphasis. As wires scale, slow slew rates on highly resistive interconnects will still limit wire performance due to inter-symbol interference (ISI) [5]. Further improvements can come from equalization circuits on receivers [2] and transmitters [4] that trade off power for bandwidth. In this paper, we extend these ideas to a capacitively driven pulse-mode wire using a transmit-side adaptive FIR filter and a clockless receiver, and show bandwidth densities of 2.2–4.4 Gb/s/µm over 90nm 5mm links, with corresponding energies of 0.24–0.34 pJ/bit on random data.
对于高性能VLSI系统的设计人员来说,长片上导线带来了众所周知的延迟、带宽和能量挑战。中继器有效地减轻了电线RC效应,但对提高其能源成本几乎没有作用。此外,不断增加的中继器场大大增加了全芯片集成的复杂性,促使电路在减少中继器数量的同时提高线路性能和能量。这些方法包括容性模式信号,它将容性驱动器与容性负载相结合[1,2];以及电流模式信号,它将电阻驱动器与电阻负载配对[3,4]。虽然两者都可以显着提高导线性能,但电容驱动器提供了减少导线上电压摆动和内在驱动器预先强调的额外好处。随着导线规模的扩大,由于符号间干扰(ISI),高阻互连上缓慢的转换速率仍然会限制导线的性能[5]。进一步的改进可以来自接收机[2]和发射机[4]上的均衡电路,以带宽换取功率。在本文中,我们将这些想法扩展到使用发射侧自适应FIR滤波器和无时钟接收器的电容驱动脉冲模式线,并在90nm 5mm链路上显示了2.2-4.4 Gb/s/µm的带宽密度,随机数据的相应能量为0.24-0.34 pJ/bit。
{"title":"High-bandwidth and low-energy on-chip signaling with adaptive pre-emphasis in 90nm CMOS","authors":"Jae-sun Seo, R. Ho, J. Lexau, Michael Dayringer, D. Sylvester, D. Blaauw","doi":"10.1109/ISSCC.2010.5433993","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433993","url":null,"abstract":"Long on-chip wires pose well-known latency, bandwidth, and energy challenges to the designers of high-performance VLSI systems. Repeaters effectively mitigate wire RC effects but do little to improve their energy costs. Moreover, proliferating repeater farms add significant complexity to full-chip integration, motivating circuits to improve wire performance and energy while reducing the number of repeaters. Such methods include capacitive-mode signaling, which combines a capacitive driver with a capacitive load [1,2]; and current-mode signaling, which pairs a resistive driver with a resistive load [3,4]. While both can significantly improve wire performance, capacitive drivers offer added benefits of reduced voltage swing on the wire and intrinsic driver pre-emphasis. As wires scale, slow slew rates on highly resistive interconnects will still limit wire performance due to inter-symbol interference (ISI) [5]. Further improvements can come from equalization circuits on receivers [2] and transmitters [4] that trade off power for bandwidth. In this paper, we extend these ideas to a capacitively driven pulse-mode wire using a transmit-side adaptive FIR filter and a clockless receiver, and show bandwidth densities of 2.2–4.4 Gb/s/µm over 90nm 5mm links, with corresponding energies of 0.24–0.34 pJ/bit on random data.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77467318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 52
A multichannel DNA SoC for rapid point-of-care gene detection 一个多通道DNA SoC快速点护理基因检测
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433834
David Garner, Hua Bai, P. Georgiou, T. Constandinou, Samuel Reed, L. Shepherd, Winston Wong, K. T. Lim, C. Toumazou
Point-of-care diagnostics for detection of genetic sequences require biosensing platforms that are sensitive to the target sequence, and are also fast, mass-manufacturable, and - ideally - disposable. Conventional lab-based methods of detecting DNA sequences rely on optical methods, typically by the addition of fluorescent tags to the target DNA that in turn latches onto a DNA probe sequence only if there is a match between the two. These techniques are cumbersome as they require upfront tagging of the DNA with expensive reagents and laboratory equipment to detect the optical signals. Recently, developments have been made in transferring these optical methods to inexpensive CMOS ICs [1], although the requirement for tagging remains. Magnetic beads offer an alternative means of tagging the DNA and their presence can be detected by the shift in resonant frequency of an on-chip LC tank [2]. There have also been attempts based on “label-free” electrochemical detection using FETs [3,4], but none of these have been implemented in unmodified standard CMOS.
检测基因序列的即时诊断需要对目标序列敏感的生物传感平台,而且要快速、可批量生产,理想情况下是一次性的。传统的实验室检测DNA序列的方法依赖于光学方法,通常是在目标DNA上添加荧光标记,只有在两者之间存在匹配时,荧光标记才会锁定在DNA探针序列上。这些技术很麻烦,因为它们需要用昂贵的试剂和实验室设备预先标记DNA来检测光信号。最近,在将这些光学方法转移到廉价的CMOS ic上取得了进展[1],尽管对标记的要求仍然存在。磁珠提供了另一种标记DNA的方法,它们的存在可以通过片上LC槽的谐振频率的变化来检测[2]。也有人尝试使用fet进行“无标签”电化学检测[3,4],但这些都没有在未经修改的标准CMOS中实现。
{"title":"A multichannel DNA SoC for rapid point-of-care gene detection","authors":"David Garner, Hua Bai, P. Georgiou, T. Constandinou, Samuel Reed, L. Shepherd, Winston Wong, K. T. Lim, C. Toumazou","doi":"10.1109/ISSCC.2010.5433834","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433834","url":null,"abstract":"Point-of-care diagnostics for detection of genetic sequences require biosensing platforms that are sensitive to the target sequence, and are also fast, mass-manufacturable, and - ideally - disposable. Conventional lab-based methods of detecting DNA sequences rely on optical methods, typically by the addition of fluorescent tags to the target DNA that in turn latches onto a DNA probe sequence only if there is a match between the two. These techniques are cumbersome as they require upfront tagging of the DNA with expensive reagents and laboratory equipment to detect the optical signals. Recently, developments have been made in transferring these optical methods to inexpensive CMOS ICs [1], although the requirement for tagging remains. Magnetic beads offer an alternative means of tagging the DNA and their presence can be detected by the shift in resonant frequency of an on-chip LC tank [2]. There have also been attempts based on “label-free” electrochemical detection using FETs [3,4], but none of these have been implemented in unmodified standard CMOS.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80141852","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 62
Harnessing technology to advance the next-generation mobile user-experience 利用技术提升下一代移动用户体验
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434067
G. Delagi
The mobile-handset market continues to be a dynamic and growing one, enabled by technology advances that include increased bandwidth, greater processing performance, increased power efficiency, and improved display technologies to deliver compelling user experiences. We envision a world in five years where mobile devices will offer new high-performance services and features, support always-on/always-aware connectivity, and deliver battery life that will provide days of active-use experience. These “smart mobile companion” devices of the future will be intelligent autonomous systems with a multitude of incorporated sensors and display options, all designed to make our lives easier and more productive.
随着技术的进步,包括带宽的增加、处理性能的提高、电源效率的提高和显示技术的改进,移动手机市场仍然是一个充满活力和不断增长的市场,以提供引人注目的用户体验。我们设想在五年内,移动设备将提供新的高性能服务和功能,支持永远在线/始终感知的连接,并提供可提供数天活跃使用体验的电池寿命。这些未来的“智能移动伴侣”设备将是具有大量集成传感器和显示选项的智能自主系统,所有这些都旨在使我们的生活更轻松,更高效。
{"title":"Harnessing technology to advance the next-generation mobile user-experience","authors":"G. Delagi","doi":"10.1109/ISSCC.2010.5434067","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434067","url":null,"abstract":"The mobile-handset market continues to be a dynamic and growing one, enabled by technology advances that include increased bandwidth, greater processing performance, increased power efficiency, and improved display technologies to deliver compelling user experiences. We envision a world in five years where mobile devices will offer new high-performance services and features, support always-on/always-aware connectivity, and deliver battery life that will provide days of active-use experience. These “smart mobile companion” devices of the future will be intelligent autonomous systems with a multitude of incorporated sensors and display options, all designed to make our lives easier and more productive.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81526027","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
A 300mA 14mV-ripple digitally controlled buck converter using frequency domain ΔΣ ADC and hybrid PWM generator 采用频率域ΔΣ ADC和混合PWM发生器的300mA 14mv纹波数字控制降压变换器
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5433985
H. Ahmad, B. Bakkaloglu
Digitally controlled DC-DC converters enable design portability as well as reconfigurable compensation and control schemes. Digital controllers are also resistant to process and temperature variations making them attractive for SoC applications. The main building blocks of a digital controller for integrated switch-mode converters are the feedback ADC that digitizes the error signal, the digital compensator, and the digital PWM generator (DPWM). The ADC and DPWM blocks are typically the most challenging circuits to design in terms of power consumption, complexity, and area.
数字控制的DC-DC转换器使设计可移植性以及可重构的补偿和控制方案。数字控制器还可以抵抗工艺和温度变化,使其对SoC应用具有吸引力。集成开关模式转换器的数字控制器的主要组成部分是将误差信号数字化的反馈ADC、数字补偿器和数字PWM发生器(DPWM)。就功耗、复杂性和面积而言,ADC和DPWM模块通常是最具挑战性的设计电路。
{"title":"A 300mA 14mV-ripple digitally controlled buck converter using frequency domain ΔΣ ADC and hybrid PWM generator","authors":"H. Ahmad, B. Bakkaloglu","doi":"10.1109/ISSCC.2010.5433985","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5433985","url":null,"abstract":"Digitally controlled DC-DC converters enable design portability as well as reconfigurable compensation and control schemes. Digital controllers are also resistant to process and temperature variations making them attractive for SoC applications. The main building blocks of a digital controller for integrated switch-mode converters are the feedback ADC that digitizes the error signal, the digital compensator, and the digital PWM generator (DPWM). The ADC and DPWM blocks are typically the most challenging circuits to design in terms of power consumption, complexity, and area.","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85072946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 32
A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS 48核IA-32消息传递处理器,采用45纳米CMOS的DVFS
Pub Date : 2010-03-18 DOI: 10.1109/ISSCC.2010.5434077
J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom, Fabric Pailet, Shailendra Jain, Tiju Jacob, Satish Yada, Sraven Marella, Praveen Salihundam, V. Erraguntla, M. Konow, Michael Riepen, G. Droege, Joerg Lindemann, M. Gries, T. Apel, K. Henriss, Tor Lund-Larsen, Sebastian Steibl, S. Borkar, V. De, R. V. D. Wijngaart, T. Mattson
Current developments in microprocessor design favor increased core counts over frequency scaling to improve processor performance and energy efficiency. Coupling this architectural trend with a message-passing protocol helps realize a data-center-on-a-die. The prototype chip (Figs. 5.7.1 and 5.7.7) described in this paper integrates 48 Pentium™ class IA-32 cores [1] on a 6×4 2D-mesh network of tiled core clusters with high-speed I/Os on the periphery. The chip contains 1.3B transistors. Each core has a private 256KB L2 cache (12MB total on-die) and is optimized to support a message-passing-programming model whereby cores communicate through shared memory. A 16KB message-passing buffer (MPB) is present in every tile, giving a total of 384KB on-die shared memory, for increased performance. Power is kept at a minimum by transmitting dynamic, fine-grained voltage-change commands over the network to an on-die voltage-regulator controller (VRC). Further power savings are achieved through active frequency scaling at the tile granularity. Memory accesses are distributed over four on-die DDR3 controllers for an aggregate peak memory bandwidth of 21GB/s at 4× burst. Additionally, an 8-byte bidirectional system interface (SIF) provides 6.4GB/s of I/O bandwidth. The die area is 567mm2 and is implemented in 45nm high-к metal-gate CMOS [2].
当前微处理器设计的发展倾向于增加核数而不是频率缩放,以提高处理器性能和能源效率。将这种体系结构趋势与消息传递协议相结合有助于实现数据中心。本文描述的原型芯片(图5.7.1和5.7.7)将48个Pentium™类IA-32内核[1]集成在一个6×4二维网格网络上,该网络由平铺核心集群组成,外围是高速I/ o。该芯片包含13亿个晶体管。每个内核都有一个专用的256KB二级缓存(片内总共12MB),并经过优化以支持消息传递编程模型,内核通过共享内存进行通信。每个块中都有一个16KB的消息传递缓冲区(MPB),总共提供384KB的片上共享内存,以提高性能。通过将动态的、细粒度的电压变化命令通过网络传输到片上电压调节控制器(VRC),功率保持在最低限度。进一步的节能是通过在瓷砖粒度上的主动频率缩放来实现的。内存访问分布在四个片上DDR3控制器上,在4x突发时,总峰值内存带宽为21GB/s。此外,一个8字节的双向系统接口(SIF)提供6.4GB/s的I/O带宽。该芯片面积为567mm2,采用45nm高通量金属栅CMOS[2]实现。
{"title":"A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS","authors":"J. Howard, S. Dighe, Y. Hoskote, S. Vangal, D. Finan, G. Ruhl, D. Jenkins, H. Wilson, N. Borkar, G. Schrom, Fabric Pailet, Shailendra Jain, Tiju Jacob, Satish Yada, Sraven Marella, Praveen Salihundam, V. Erraguntla, M. Konow, Michael Riepen, G. Droege, Joerg Lindemann, M. Gries, T. Apel, K. Henriss, Tor Lund-Larsen, Sebastian Steibl, S. Borkar, V. De, R. V. D. Wijngaart, T. Mattson","doi":"10.1109/ISSCC.2010.5434077","DOIUrl":"https://doi.org/10.1109/ISSCC.2010.5434077","url":null,"abstract":"Current developments in microprocessor design favor increased core counts over frequency scaling to improve processor performance and energy efficiency. Coupling this architectural trend with a message-passing protocol helps realize a data-center-on-a-die. The prototype chip (Figs. 5.7.1 and 5.7.7) described in this paper integrates 48 Pentium™ class IA-32 cores [1] on a 6×4 2D-mesh network of tiled core clusters with high-speed I/Os on the periphery. The chip contains 1.3B transistors. Each core has a private 256KB L2 cache (12MB total on-die) and is optimized to support a message-passing-programming model whereby cores communicate through shared memory. A 16KB message-passing buffer (MPB) is present in every tile, giving a total of 384KB on-die shared memory, for increased performance. Power is kept at a minimum by transmitting dynamic, fine-grained voltage-change commands over the network to an on-die voltage-regulator controller (VRC). Further power savings are achieved through active frequency scaling at the tile granularity. Memory accesses are distributed over four on-die DDR3 controllers for an aggregate peak memory bandwidth of 21GB/s at 4× burst. Additionally, an 8-byte bidirectional system interface (SIF) provides 6.4GB/s of I/O bandwidth. The die area is 567mm2 and is implemented in 45nm high-к metal-gate CMOS [2].","PeriodicalId":6418,"journal":{"name":"2010 IEEE International Solid-State Circuits Conference - (ISSCC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2010-03-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83576607","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 708
期刊
2010 IEEE International Solid-State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1