首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
A Cell-based Power Estimation In Cmos Combinational Circuits Cmos组合电路中基于单元的功率估计
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629785
Jiing-Yuan Lin, Tai-Chien Liu, W. Shen
In this paper we present a power dissipation model considering the charging/discharging of capacitance at the gate output node as well as internal nodes, and capacitance feedthrough effect. Based on the model, a Cell-Based Power Estimation (CBPE) method is developed to estimate the power dissipation in CMOS combinational circuits. In our technique, we first construct a modified state transition graph called STGPE to model the power consumption behavior of a logic gate. Then, according to the input signal probabilities and transition densities of the logic gate, we perform an efficient method to estimate the expected activity number of each edge in the STGPE. Finally, the energy consumption of a logic gate is calculated by summing the energy consumptions of each edge in STGPE. For a set of benchmark circuits, experimental results show that the power dissipation estimated by CBPE is on average within 10-percent errors as compared to the exact SPICE simulation while the CPU time is more than two order-of-magnitudes faster.
本文提出了考虑栅极输出节点和内部节点电容充放电以及电容馈通效应的功率损耗模型。在此基础上,提出了一种基于单元的CMOS组合电路功耗估计方法。在我们的技术中,我们首先构造一个称为STGPE的修改状态转换图来模拟逻辑门的功耗行为。然后,根据输入信号的概率和逻辑门的跃迁密度,我们执行了一种有效的方法来估计STGPE中每条边的期望活动数。最后,通过对STGPE中每条边的能量消耗求和来计算逻辑门的能量消耗。对于一组基准电路,实验结果表明,与SPICE模拟相比,CBPE估计的功耗平均误差在10%以内,而CPU时间比SPICE模拟快两个数量级以上。
{"title":"A Cell-based Power Estimation In Cmos Combinational Circuits","authors":"Jiing-Yuan Lin, Tai-Chien Liu, W. Shen","doi":"10.1109/ICCAD.1994.629785","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629785","url":null,"abstract":"In this paper we present a power dissipation model considering the charging/discharging of capacitance at the gate output node as well as internal nodes, and capacitance feedthrough effect. Based on the model, a Cell-Based Power Estimation (CBPE) method is developed to estimate the power dissipation in CMOS combinational circuits. In our technique, we first construct a modified state transition graph called STGPE to model the power consumption behavior of a logic gate. Then, according to the input signal probabilities and transition densities of the logic gate, we perform an efficient method to estimate the expected activity number of each edge in the STGPE. Finally, the energy consumption of a logic gate is calculated by summing the energy consumptions of each edge in STGPE. For a set of benchmark circuits, experimental results show that the power dissipation estimated by CBPE is on average within 10-percent errors as compared to the exact SPICE simulation while the CPU time is more than two order-of-magnitudes faster.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76336795","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 56
Dataflow-driven Memory Allocation For Multi-dimensional Signal Processing Systems 多维信号处理系统的数据流驱动内存分配
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629739
F. Balasa, F. Catthoor, H. Man
Memory cost is responsible for a large amount of the chip and/or board area of customized video and image processing systems. In this paper, a novel background memory allocation and assignment technique is presented. It is intended for a behavioural algorithm specification, where the procedural ordering of the memory related operations is not yet fully fixed. Instead of the more restricted classical scheduling-based explorations, starting from procedurally interpreted specifications in terms of loops, a novel optimization approach—driven by data flow analysis—is proposed. Employing the estimated silicon area as a steering cost, this allocation/assignment technique yields one or (optionally) several distributed (multi-port) memory architecture(s) with fully-determined characteristics, complying with a given clock cycle budget for read/write operations. Moreover, our approach can accurately deal with complex multi-dimensional signals by means of a polyhedral data-flow analysis operating with groups of scalars.
内存成本是负责定制视频和图像处理系统的大量芯片和/或板面积。本文提出了一种新的后台内存分配与分配技术。它用于行为算法规范,其中内存相关操作的过程顺序尚未完全固定。本文提出了一种由数据流分析驱动的新型优化方法,而不是更受限制的基于调度的经典探索,即从循环方面的程序解释规范开始。使用估计的硅面积作为控制成本,这种分配/分配技术产生一个或(可选的)多个具有完全确定特性的分布式(多端口)内存架构,符合给定的读/写操作时钟周期预算。此外,我们的方法可以准确地处理复杂的多维信号,通过多面体数据流分析与标量组的操作。
{"title":"Dataflow-driven Memory Allocation For Multi-dimensional Signal Processing Systems","authors":"F. Balasa, F. Catthoor, H. Man","doi":"10.1109/ICCAD.1994.629739","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629739","url":null,"abstract":"Memory cost is responsible for a large amount of the chip and/or board area of customized video and image processing systems. In this paper, a novel background memory allocation and assignment technique is presented. It is intended for a behavioural algorithm specification, where the procedural ordering of the memory related operations is not yet fully fixed. Instead of the more restricted classical scheduling-based explorations, starting from procedurally interpreted specifications in terms of loops, a novel optimization approach—driven by data flow analysis—is proposed. Employing the estimated silicon area as a steering cost, this allocation/assignment technique yields one or (optionally) several distributed (multi-port) memory architecture(s) with fully-determined characteristics, complying with a given clock cycle budget for read/write operations. Moreover, our approach can accurately deal with complex multi-dimensional signals by means of a polyhedral data-flow analysis operating with groups of scalars.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87176771","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 55
Efficient Breadth-first Manipulation Of Binary Decision Diagrams 二元决策图的有效宽度优先操作
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629886
P. Ashar, M. Cheong
We propose new techniques for efficient breadth-first iterative manipulation of ROBDDs. Breadth-first iterative ROBDD manipulation can potentially reduce the total elapsed time by multiple orders of magnitude compared to the conventional depth-first recursive algorithms when the memory requirement exceeds the available physical memory. However, the breadth-first manipulation algorithms proposed so far have had a large enough overhead associated with them to make them impractical. Our techniques are geared towards minimizing the overhead without sacrificing the speed up potential. Experimental results indicate considerable success in that regard.
我们提出了有效的宽度优先迭代操作的新技术。当内存需求超过可用的物理内存时,与传统的深度优先递归算法相比,宽度优先迭代ROBDD操作可以潜在地将总运行时间减少多个数量级。然而,迄今为止提出的宽度优先操作算法具有足够大的开销,使其不切实际。我们的技术旨在在不牺牲加速潜力的情况下最小化开销。实验结果表明在这方面取得了相当大的成功。
{"title":"Efficient Breadth-first Manipulation Of Binary Decision Diagrams","authors":"P. Ashar, M. Cheong","doi":"10.1109/ICCAD.1994.629886","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629886","url":null,"abstract":"We propose new techniques for efficient breadth-first iterative manipulation of ROBDDs. Breadth-first iterative ROBDD manipulation can potentially reduce the total elapsed time by multiple orders of magnitude compared to the conventional depth-first recursive algorithms when the memory requirement exceeds the available physical memory. However, the breadth-first manipulation algorithms proposed so far have had a large enough overhead associated with them to make them impractical. Our techniques are geared towards minimizing the overhead without sacrificing the speed up potential. Experimental results indicate considerable success in that regard.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85346127","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 47
Retiming With Non-zero Clock Skew, Variable Register, and Interconnect Delay 具有非零时钟倾斜、可变寄存器和互连延迟的重定时
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629771
T. Soyata, E. Friedman
A retiming algorithm is presented which includes the effects of variable register, clock distribution, and interconnect delay. These delay components are incorporated into retiming by assigning Register Electrical Characteristics (RECs) to each edge in the graph representation of the synchronous circuit. A matrix (called the Sequential Adjacency Matrix or SAM) is presented that contains all path delays. Timing constraints for each data path are derived from this matrix. Vertex lags are assigned ranges rather than single values as in standard retiming algorithms. The approach used in the proposed algorithm is to initialize these ranges with unbounded values and continuously tighten these ranges using localized timing constraints until an optimal solution is obtained. The algorithm is demonstrated on modified MCNC benchmark circuits and both increased clock frequencies and elimination of all race conditions are observed.
提出了一种考虑变量寄存器、时钟分布和互连延迟影响的重定时算法。通过在同步电路的图形表示中为每条边分配寄存器电特性(RECs),这些延迟元件被合并到重定时中。提出了一个包含所有路径延迟的矩阵(称为顺序邻接矩阵或SAM)。每个数据路径的时间约束由该矩阵导出。在标准的重定时算法中,顶点滞后被分配范围而不是单个值。该算法使用无界值初始化这些范围,并使用局部时间约束不断收紧这些范围,直到获得最优解。在改进的MCNC基准电路上对该算法进行了验证,结果表明该算法提高了时钟频率,消除了所有竞态条件。
{"title":"Retiming With Non-zero Clock Skew, Variable Register, and Interconnect Delay","authors":"T. Soyata, E. Friedman","doi":"10.1109/ICCAD.1994.629771","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629771","url":null,"abstract":"A retiming algorithm is presented which includes the effects of variable register, clock distribution, and interconnect delay. These delay components are incorporated into retiming by assigning Register Electrical Characteristics (RECs) to each edge in the graph representation of the synchronous circuit. A matrix (called the Sequential Adjacency Matrix or SAM) is presented that contains all path delays. Timing constraints for each data path are derived from this matrix. Vertex lags are assigned ranges rather than single values as in standard retiming algorithms. The approach used in the proposed algorithm is to initialize these ranges with unbounded values and continuously tighten these ranges using localized timing constraints until an optimal solution is obtained. The algorithm is demonstrated on modified MCNC benchmark circuits and both increased clock frequencies and elimination of all race conditions are observed.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82913923","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 41
A Formal Basis For Design Process Planning And Management 设计过程计划和管理的正式基础
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629871
M. Jacome, S. W. Director
In this paper we present a design formalism that allows for a complete and general characterization of design disciplines and for a unified representation of arbitrarily complex design processes. This formalism has been used as the basis for the development of several prototype CAD meta-tools that offer effective design process planning and management services.
在本文中,我们提出了一种设计形式主义,它允许对设计学科进行完整和一般的表征,并对任意复杂的设计过程进行统一的表示。这种形式已经被用作开发几个原型CAD元工具的基础,这些元工具提供了有效的设计过程规划和管理服务。
{"title":"A Formal Basis For Design Process Planning And Management","authors":"M. Jacome, S. W. Director","doi":"10.1109/ICCAD.1994.629871","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629871","url":null,"abstract":"In this paper we present a design formalism that allows for a complete and general characterization of design disciplines and for a unified representation of arbitrarily complex design processes. This formalism has been used as the basis for the development of several prototype CAD meta-tools that offer effective design process planning and management services.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82935778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
Timing Uncertainty Analysis For Time-of-flight Systems 飞行时间系统的时序不确定性分析
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629904
J. Feehrer, H. Jordan
Time-of-flight synchronization is a new digital design methodology that eliminates all latching devices, allowing higher clock rates than alternative timing schemes. Synchronization is accomplished by precisely balancing connection delays. Many effective pipeline stages are created by pipelining combinational logic, similar in concept to wave pipelining but differing in several respects. Due to the unique flow-through nature of circuits and to the need for pulse-mode operation, time-of-flight design exposes interesting new areas for CAD timing analysis. This paper discusses how static propagation delay uncertainty limits the clock period for time-of-flight circuits built with opto-electronic devices. We present algorithms for placing a minimum set of clock gates to restore timing in feedback loops that implement memory and for propagating delay uncertainty through a circuit graph. A mixed integer program determining the minimum feasible clock period subject to pulse width and arrival time constraints is discussed. Algorithms are implemented in XHatch, a time-of-flight CAD package.
飞行时间同步是一种新的数字设计方法,消除了所有锁存装置,允许比其他定时方案更高的时钟速率。同步是通过精确地平衡连接延迟来完成的。许多有效的管道级是由管道组合逻辑创建的,在概念上类似于波浪管道,但在几个方面有所不同。由于电路独特的流动性质和脉冲模式操作的需要,飞行时间设计为CAD定时分析提供了有趣的新领域。本文讨论了静态传播延迟不确定性如何限制由光电器件构成的飞行时间电路的时钟周期。我们提出了一种算法,用于放置一组最小时钟门,以在实现内存的反馈回路中恢复定时,并通过电路图传播延迟不确定性。讨论了在脉冲宽度和到达时间约束下确定最小可行时钟周期的混合整数程序。算法在XHatch中实现,XHatch是一个飞行时间CAD软件包。
{"title":"Timing Uncertainty Analysis For Time-of-flight Systems","authors":"J. Feehrer, H. Jordan","doi":"10.1109/ICCAD.1994.629904","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629904","url":null,"abstract":"Time-of-flight synchronization is a new digital design methodology that eliminates all latching devices, allowing higher clock rates than alternative timing schemes. Synchronization is accomplished by precisely balancing connection delays. Many effective pipeline stages are created by pipelining combinational logic, similar in concept to wave pipelining but differing in several respects. Due to the unique flow-through nature of circuits and to the need for pulse-mode operation, time-of-flight design exposes interesting new areas for CAD timing analysis. This paper discusses how static propagation delay uncertainty limits the clock period for time-of-flight circuits built with opto-electronic devices. We present algorithms for placing a minimum set of clock gates to restore timing in feedback loops that implement memory and for propagating delay uncertainty through a circuit graph. A mixed integer program determining the minimum feasible clock period subject to pulse width and arrival time constraints is discussed. Algorithms are implemented in XHatch, a time-of-flight CAD package.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89580641","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Condition Graphs For High-quality Behavioral Synthesis 高质量行为综合的条件图
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629761
H. Juan, Viraphol Chaiyakul, D. Gajski
Identifying mutual exclusiveness between operators during behavioral synthesis is important in order to reduce the required number of control steps or hardware resources. To improve the quality of the synthesis result, we propose a representation, the Condition Graph, and an algorithm for identification of mutually exclusive operators. Previous research efforts have concentrated on identifying mutual exclusiveness by examining language constructs such as IF-THEN-ELSE statements. Thus, their results heavily depend on the description styles. The proposed approach can produce results independent of description styles and identify more mutually exclusive operators than any previous approaches. The Condition Graph and the proposed algorithm can be used in any scheduling or binding algorithms. Experimental results on several benchmarks have shown the efficiency of the proposed representation and algorithm.
在行为综合过程中,识别操作符之间的互斥性对于减少所需的控制步骤或硬件资源非常重要。为了提高综合结果的质量,我们提出了一种表示、条件图和一种识别互斥算子的算法。以前的研究工作集中在通过检查语言结构(如IF-THEN-ELSE语句)来识别互斥性。因此,它们的结果很大程度上依赖于描述风格。所提出的方法可以产生独立于描述风格的结果,并且比任何以前的方法识别更多的互斥运算符。条件图和所提出的算法可用于任何调度或绑定算法。在几个基准测试上的实验结果表明了所提出的表示和算法的有效性。
{"title":"Condition Graphs For High-quality Behavioral Synthesis","authors":"H. Juan, Viraphol Chaiyakul, D. Gajski","doi":"10.1109/ICCAD.1994.629761","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629761","url":null,"abstract":"Identifying mutual exclusiveness between operators during behavioral synthesis is important in order to reduce the required number of control steps or hardware resources. To improve the quality of the synthesis result, we propose a representation, the Condition Graph, and an algorithm for identification of mutually exclusive operators. Previous research efforts have concentrated on identifying mutual exclusiveness by examining language constructs such as IF-THEN-ELSE statements. Thus, their results heavily depend on the description styles. The proposed approach can produce results independent of description styles and identify more mutually exclusive operators than any previous approaches. The Condition Graph and the proposed algorithm can be used in any scheduling or binding algorithms. Experimental results on several benchmarks have shown the efficiency of the proposed representation and algorithm.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75986880","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
The Reproducing Placement Problem With Applications 应用程序的再现安置问题
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629896
Wei-Liang Lin, M. Sarrafzadeh, Chak-Kuen Wong
We study a new placement problem: the reproducing placement problem (RPP). In each phase a module (or gate) is decomposed into two (or more) simpler modules. The goal is to find a “good” placement in each phase. The problem, being iterative in nature, requires an iterative algorithm. The problem finds applications in several gate-level placement problems, e.g., in layout-driven logic synthesis.We introduce the notion of minimum floating Steiner trees (MFST). We employ an MFST algorithm as a central step in solving the RPP. A Hanan-like theorem is established for the MFST problem and two approximation algorithms are proposed. Experiments on commonly employed benchmarks verify the effectiveness of the proposed technique.
研究了一种新的布局问题:再现布局问题(RPP)。在每个阶段,一个模块(或门)被分解成两个(或更多)更简单的模块。目标是在每个阶段找到一个“好的”位置。这个问题本质上是迭代的,需要一个迭代算法。该问题在几个门级放置问题中得到应用,例如,在布局驱动的逻辑综合中。引入最小浮动斯坦纳树(MFST)的概念。我们采用MFST算法作为求解RPP的中心步骤。建立了MFST问题的类哈南定理,并提出了两种近似算法。在常用基准测试上的实验验证了所提技术的有效性。
{"title":"The Reproducing Placement Problem With Applications","authors":"Wei-Liang Lin, M. Sarrafzadeh, Chak-Kuen Wong","doi":"10.1109/ICCAD.1994.629896","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629896","url":null,"abstract":"We study a new placement problem: the reproducing placement problem (RPP). In each phase a module (or gate) is decomposed into two (or more) simpler modules. The goal is to find a “good” placement in each phase. The problem, being iterative in nature, requires an iterative algorithm. The problem finds applications in several gate-level placement problems, e.g., in layout-driven logic synthesis.\u0000We introduce the notion of minimum floating Steiner trees (MFST). We employ an MFST algorithm as a central step in solving the RPP. A Hanan-like theorem is established for the MFST problem and two approximation algorithms are proposed. Experiments on commonly employed benchmarks verify the effectiveness of the proposed technique.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"74169555","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Minimum Crosstalk Switchbox Routing 最小串扰开关箱路由
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629884
T. Gao, C. Liu
As technology advances, interconnection wires are placed in closer proximity. Consequently, reduction of crosstalks between interconnection wires becomes an important consideration in VLSI design. In this paper, we study the gridded switchbox routing problems with the objectives of satisfying crosstalk constraints and minimizing the total crosstalk in the nets. We propose a new approach to the problems which utilizes existing switchbox routing algorithms and improves upon the routing results by re-assigning the horizontal and vertical wire segments to rows and columns, respectively, in an interative fashion. This approach can also be applied to the channel routing problem with crosstalk constraints. A novel mixed ILP formulation and effective procedures for reducing the number of variables and constraints in the mixed ILP formulation are then presented. The experimental results are encouraging.
随着技术的进步,互连线的距离越来越近。因此,减少互连线之间的串扰成为VLSI设计中的重要考虑因素。本文研究了以满足串扰约束和最小化网络总串扰为目标的网格化开关箱路由问题。我们提出了一种利用现有开关箱路由算法的新方法,并通过以交互方式分别将水平和垂直线段重新分配给行和列来改进路由结果。该方法也可以应用于具有串扰约束的信道路由问题。然后提出了一种新的混合ILP公式和减少混合ILP公式中变量和约束数量的有效步骤。实验结果令人鼓舞。
{"title":"Minimum Crosstalk Switchbox Routing","authors":"T. Gao, C. Liu","doi":"10.1109/ICCAD.1994.629884","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629884","url":null,"abstract":"As technology advances, interconnection wires are placed in closer proximity. Consequently, reduction of crosstalks between interconnection wires becomes an important consideration in VLSI design. In this paper, we study the gridded switchbox routing problems with the objectives of satisfying crosstalk constraints and minimizing the total crosstalk in the nets. We propose a new approach to the problems which utilizes existing switchbox routing algorithms and improves upon the routing results by re-assigning the horizontal and vertical wire segments to rows and columns, respectively, in an interative fashion. This approach can also be applied to the channel routing problem with crosstalk constraints. A novel mixed ILP formulation and effective procedures for reducing the number of variables and constraints in the mixed ILP formulation are then presented. The experimental results are encouraging.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80128170","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 66
Perturb And Simplify: Multi-level Boolean Network Optimizer 扰动与简化:多层次布尔网络优化器
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629734
Shih-Chieh Chang, M. Marek-Sadowska, K. Cheng
In this paper, we discuss the problem of optimizing a multi-level logic combinational Boolean network. Our techniques apply a sequence of local perturbations and modifications of the network which are guided by the automatic test pattern generation ATPG based reasoning. In particular, we propose several new ways in which one or more redundant gates or wires can be added to a network. We show how to identify gates which are good candidates for local functionality change. Furthermore, we discuss the problem of adding and removing two wires, none of which alone is redundant, but when jointly added/removed they do not affect functionality of the network. We also address the problem of efficient redundancy computation which allows to eliminate many unnecessary redundancy tests. We have performed experiments on MCNC benchmarks and compared the results to those of misII and RAMBO. Experimental results are very encouraging.
本文讨论了一个多级逻辑组合布尔网络的优化问题。我们的技术应用了一系列局部扰动和网络的修改,这些干扰和修改由基于推理的自动测试模式生成ATPG引导。特别是,我们提出了几种新的方法,其中一个或多个冗余门或线可以添加到一个网络。我们将展示如何识别适合局部功能更改的门。此外,我们还讨论了添加和删除两条线的问题,其中任何一条都不是冗余的,但是当它们共同添加/删除时,它们不会影响网络的功能。我们还解决了有效的冗余计算问题,这可以消除许多不必要的冗余测试。我们在MCNC基准上进行了实验,并将结果与misII和RAMBO进行了比较。实验结果令人鼓舞。
{"title":"Perturb And Simplify: Multi-level Boolean Network Optimizer","authors":"Shih-Chieh Chang, M. Marek-Sadowska, K. Cheng","doi":"10.1109/ICCAD.1994.629734","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629734","url":null,"abstract":"In this paper, we discuss the problem of optimizing a multi-level logic combinational Boolean network. Our techniques apply a sequence of local perturbations and modifications of the network which are guided by the automatic test pattern generation ATPG based reasoning. In particular, we propose several new ways in which one or more redundant gates or wires can be added to a network. We show how to identify gates which are good candidates for local functionality change. Furthermore, we discuss the problem of adding and removing two wires, none of which alone is redundant, but when jointly added/removed they do not affect functionality of the network. We also address the problem of efficient redundancy computation which allows to eliminate many unnecessary redundancy tests. We have performed experiments on MCNC benchmarks and compared the results to those of misII and RAMBO. Experimental results are very encouraging.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90144435","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 159
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1