首页 > 最新文献

ICCAD. IEEE/ACM International Conference on Computer-Aided Design最新文献

英文 中文
A Comprehensive Fault Macromodel For Opamps Opamps的综合故障宏模型
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629815
Chen-Yang Pan, K. Cheng, S. Gupta
In this paper, a comprehensive macromodel for transistor level faults in an operational amplifier is developed. With the observation that faulty behavior at output may result from interfacing error in addition to the faulty component, parameters associated with input and output characteristics are incorporated. Test generation and fault classification are addressed for stand-alone opamps. A high fault coverage is achieved by a proposed testing strategy. Transistor level short/bridging faults are analyzed and classified into catastrophic faults and parametric faults. Based on the macromodels for parametric faults, faults simulation is performed for an active filter. We found many parametric faults in the active filter cannot be detected by traditional functional testing. A DFT scheme alone with a current testing strategy to improve fault coverage is proposed.
本文建立了运算放大器晶体管级故障的综合宏观模型。由于观察到输出端的错误行为可能是由接口错误造成的,除了故障组件之外,还包括与输入和输出特性相关的参数。测试生成和故障分类解决了独立运放。通过提出的测试策略,实现了较高的故障覆盖率。对晶体管级短/桥接故障进行了分析,并将其分为突变故障和参数故障。基于参数故障宏模型,对有源滤波器进行了故障仿真。我们发现,传统的功能测试方法无法检测到有源滤波器中的许多参数故障。提出了一种单独的DFT方案和当前测试策略来提高故障覆盖率。
{"title":"A Comprehensive Fault Macromodel For Opamps","authors":"Chen-Yang Pan, K. Cheng, S. Gupta","doi":"10.1109/ICCAD.1994.629815","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629815","url":null,"abstract":"In this paper, a comprehensive macromodel for transistor level faults in an operational amplifier is developed. With the observation that faulty behavior at output may result from interfacing error in addition to the faulty component, parameters associated with input and output characteristics are incorporated. Test generation and fault classification are addressed for stand-alone opamps. A high fault coverage is achieved by a proposed testing strategy. Transistor level short/bridging faults are analyzed and classified into catastrophic faults and parametric faults. Based on the macromodels for parametric faults, faults simulation is performed for an active filter. We found many parametric faults in the active filter cannot be detected by traditional functional testing. A DFT scheme alone with a current testing strategy to improve fault coverage is proposed.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76736868","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 15
Design Exploration For High-performance Pipelines 高性能管道的设计探索
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629786
Smita Bakshi, D. Gajski
Exploration plays an important role in the design of high-performance pipelines. We propose an exploration strategy for varying three design parameters by using a performance-constrained component selection and pipelining algorithm on different “architectures”. The architecture is specified manually by using a mix of behavioral and structural constructs, while the component selection and pipelining is performed automatically using our algorithms. Results on two industrial-strength DSP systems, indicate the effectiveness of our strategy in exploring a large design space within a matter of seconds.
勘探在高性能管道设计中起着重要的作用。我们提出了一种探索策略,通过在不同的“架构”上使用性能约束的组件选择和流水线算法来改变三个设计参数。架构是通过混合使用行为和结构结构来手动指定的,而组件选择和流水线是使用我们的算法自动执行的。在两个工业强度DSP系统上的结果表明,我们的策略在几秒钟内探索大型设计空间的有效性。
{"title":"Design Exploration For High-performance Pipelines","authors":"Smita Bakshi, D. Gajski","doi":"10.1109/ICCAD.1994.629786","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629786","url":null,"abstract":"Exploration plays an important role in the design of high-performance pipelines. We propose an exploration strategy for varying three design parameters by using a performance-constrained component selection and pipelining algorithm on different “architectures”. The architecture is specified manually by using a mix of behavioral and structural constructs, while the component selection and pipelining is performed automatically using our algorithms. Results on two industrial-strength DSP systems, indicate the effectiveness of our strategy in exploring a large design space within a matter of seconds.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77987853","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
An Efficient Procedure For The Synthesis Of Fast Self-testable Controller Structures 一种快速自测试控制器结构的有效合成方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629752
S. Hellebrand, H. Wunderlich
The BIST implementation of a conventionally synthesized controller in most cases requires the integration of an additional register only for test purposes. This leads to some serious drawbacks concerning the fault coverage, the system speed and the area overhead. A synthesis technique is presented which uses the additional test register also to implement the system function by supporting self-testable pipeline-like controller structures. It will be shown, that if the need of two different registers in the final structure is already taken into account during synthesis, then the overall number of flipflops can be reduced, and the fault coverage and system speed can be enhanced. The presented algorithm constructs realizations of a given finite state machine specification which can be trivially implemented by a self-testable structure. The efficiency of the procedure is ensured by a very precise characterization of the space of suitable realizations, which avoids the computational overhead of previously published algorithms.
在大多数情况下,常规合成控制器的BIST实现只需要为测试目的集成额外的寄存器。这在故障覆盖、系统速度和区域开销方面导致了一些严重的缺陷。提出了一种利用附加测试寄存器的综合技术,通过支持自测试的类流水线控制器结构来实现系统功能。结果表明,如果在综合时考虑到最终结构中需要两个不同的寄存器,则可以减少触发器的总数,提高故障覆盖率和系统速度。该算法构造了给定有限状态机规范的实现,可以通过自测试结构轻松实现。通过非常精确地描述合适实现的空间,确保了该过程的效率,从而避免了先前发布的算法的计算开销。
{"title":"An Efficient Procedure For The Synthesis Of Fast Self-testable Controller Structures","authors":"S. Hellebrand, H. Wunderlich","doi":"10.1109/ICCAD.1994.629752","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629752","url":null,"abstract":"The BIST implementation of a conventionally synthesized controller in most cases requires the integration of an additional register only for test purposes. This leads to some serious drawbacks concerning the fault coverage, the system speed and the area overhead. A synthesis technique is presented which uses the additional test register also to implement the system function by supporting self-testable pipeline-like controller structures. It will be shown, that if the need of two different registers in the final structure is already taken into account during synthesis, then the overall number of flipflops can be reduced, and the fault coverage and system speed can be enhanced. The presented algorithm constructs realizations of a given finite state machine specification which can be trivially implemented by a self-testable structure. The efficiency of the procedure is ensured by a very precise characterization of the space of suitable realizations, which avoids the computational overhead of previously published algorithms.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73410743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Simulation Of Digital Circuits In The Presence of Uncertainty 存在不确定性的数字电路仿真
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629774
M. Linderman, M. Leeser
Current extended value set dynamic timing analyzers are not sophisticated enough to detect the subtle timing relationships upon which timing-critical systems depend, and exhaustive simulation achieves very accurate results but at tremendous computational cost. MTV is a simulator that strikes a balance between accuracy and efficiency.MTV is more accurate than other extended value set simulators because it respects the ordering of events. It is more efficient than exhaustive simulators because it efficiently simulates overlapping events and requires only a single waveform to represent a signal. Features of MTV include: elimination of common ambiguity, symbolic delays, correlated delays, and sophisticated algorithms to detect ordered events. This paper concludes with simulation results from the ISCAS85 benchmark suite.
目前的扩展值集动态时序分析仪还不够成熟,无法检测出时序关键系统所依赖的微妙时序关系,穷举仿真得到了非常精确的结果,但计算代价巨大。MTV是一个在准确性和效率之间取得平衡的模拟器。MTV比其他扩展值集模拟器更精确,因为它尊重事件的顺序。它比穷举模拟器更有效,因为它有效地模拟重叠事件,并且只需要一个波形来表示信号。MTV的特点包括:消除常见的歧义、符号延迟、相关延迟和检测有序事件的复杂算法。最后给出了ISCAS85基准测试套件的仿真结果。
{"title":"Simulation Of Digital Circuits In The Presence of Uncertainty","authors":"M. Linderman, M. Leeser","doi":"10.1109/ICCAD.1994.629774","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629774","url":null,"abstract":"Current extended value set dynamic timing analyzers are not sophisticated enough to detect the subtle timing relationships upon which timing-critical systems depend, and exhaustive simulation achieves very accurate results but at tremendous computational cost. MTV is a simulator that strikes a balance between accuracy and efficiency.\u0000MTV is more accurate than other extended value set simulators because it respects the ordering of events. It is more efficient than exhaustive simulators because it efficiently simulates overlapping events and requires only a single waveform to represent a signal. Features of MTV include: elimination of common ambiguity, symbolic delays, correlated delays, and sophisticated algorithms to detect ordered events. This paper concludes with simulation results from the ISCAS85 benchmark suite.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"79274146","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
Skew Sensitivity Minimization Of Buffered Clock Tree 缓冲时钟树的倾斜灵敏度最小化
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629780
J. Chung, Chung-Kuan Cheng
Given a topology of clock tree and a library of buffers, we propose an efficient skew sensitivity minimization algorithm using dynamic programming approach. Our algorithm finds the optimum buffer sizes, its insertion levels in the clock tree, and optimum wire widths to minimize the skew sensitivity under manufacturing variations. Careful fine tuning by shifting buffer locations at the last stage preserves the minimum skew sensitivity property and reduces the interconnect length. For a given clock tree of n points and a library of s different buffer sizes, the run time of the presented algorithm is O(log3ns2).Experimental results show a significant reduction of clock skews ranging from 87 times to 144 times compared to the clock skews before applying the proposed algorithm. We also observe a further reduction of the propagation delay of clock signals as a result of applying the proposed skew sensitivity algorithm.
在给定时钟树拓扑结构和缓冲区库的情况下,提出了一种利用动态规划方法实现倾斜灵敏度最小化的有效算法。我们的算法找到了最优的缓冲大小,它在时钟树中的插入水平,以及最优的导线宽度,以最小化生产变化下的倾斜灵敏度。通过在最后阶段移动缓冲位置进行仔细的微调,保留了最小的倾斜灵敏度特性并减少了互连长度。对于给定的n个点的时钟树和s个不同缓冲区大小的库,本文算法的运行时间为O(log3n•s2)。实验结果表明,与应用该算法之前相比,时钟偏差显著降低了87 ~ 144倍。我们还观察到,由于应用了所提出的倾斜灵敏度算法,时钟信号的传播延迟进一步降低。
{"title":"Skew Sensitivity Minimization Of Buffered Clock Tree","authors":"J. Chung, Chung-Kuan Cheng","doi":"10.1109/ICCAD.1994.629780","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629780","url":null,"abstract":"Given a topology of clock tree and a library of buffers, we propose an efficient skew sensitivity minimization algorithm using dynamic programming approach. Our algorithm finds the optimum buffer sizes, its insertion levels in the clock tree, and optimum wire widths to minimize the skew sensitivity under manufacturing variations. Careful fine tuning by shifting buffer locations at the last stage preserves the minimum skew sensitivity property and reduces the interconnect length. For a given clock tree of <italic>n</italic> points and a library of <italic>s</italic> different buffer sizes, the run time of the presented algorithm is <italic>O</italic>(log<supscrpt>3</supscrpt><italic>n</italic>•<italic>s</italic><supscrpt>2</supscrpt>).\u0000Experimental results show a significant reduction of clock skews ranging from 87 times to 144 times compared to the clock skews before applying the proposed algorithm. We also observe a further reduction of the propagation delay of clock signals as a result of applying the proposed skew sensitivity algorithm.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84555797","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
Algorithm Selection: A Quantitative Computation-intensive Optimization Approach 算法选择:一种定量计算密集型优化方法
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629749
M. Potkonjak, J. Rabaey
Given a set of specifications for a targeted application, algorithm selection refers to choosing the most suitable algorithm for a given goal, among several functionally equivalent algorithms. We demonstrate an extraordinary potential of algorithm selection for achieving high throughput, low cost, and low power implementations.We introduce an efficient technique for low-bound evaluation of the throughput and cost during algorithm selection and propose a relaxation-based heuristic for throughput optimization. We also present an algorithm for cost optimization using algorithm selection. The effectiveness of methodology and algorithms is illustrated using examples.
给定目标应用程序的一组规范,算法选择是指在几种功能等效的算法中为给定目标选择最合适的算法。我们展示了实现高吞吐量、低成本和低功耗实现的算法选择的非凡潜力。在算法选择过程中,我们引入了一种有效的吞吐量和成本的下限评估技术,并提出了一种基于松弛的吞吐量优化启发式算法。本文还提出了一种基于算法选择的成本优化算法。通过实例说明了方法和算法的有效性。
{"title":"Algorithm Selection: A Quantitative Computation-intensive Optimization Approach","authors":"M. Potkonjak, J. Rabaey","doi":"10.1109/ICCAD.1994.629749","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629749","url":null,"abstract":"Given a set of specifications for a targeted application, algorithm selection refers to choosing the most suitable algorithm for a given goal, among several functionally equivalent algorithms. We demonstrate an extraordinary potential of algorithm selection for achieving high throughput, low cost, and low power implementations.\u0000We introduce an efficient technique for low-bound evaluation of the throughput and cost during algorithm selection and propose a relaxation-based heuristic for throughput optimization. We also present an algorithm for cost optimization using algorithm selection. The effectiveness of methodology and algorithms is illustrated using examples.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81788913","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 22
Selecting Partial Scan Flip-flops For Circuit Partitioning 为电路划分选择部分扫描触发器
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629890
Toshinobu Ono
This paper presents a new method of selecting scan flip-flops (FFs) in partial scan designs of sequential circuits. Scan FFs are chosen so that the whole circuit can be partitioned into many small subcircuits which can be dealt with separately by a test pattern generator. This permits easy automatic test pattern generation for arbitrarily large sequential circuits. Algorithms of selecting scan FFs to allow such partitioning and of scheduling tests for subcircuits are given. Experimental results show that the proposed method makes it possible to generate test patterns for extra large sequential circuits which previous approaches cannot deal with.
提出了一种在顺序电路部分扫描设计中选择扫描触发器的新方法。扫描ff的选择使得整个电路可以被分割成许多小的子电路,这些子电路可以由测试图发生器单独处理。这允许为任意大的顺序电路轻松地自动生成测试模式。给出了允许这种划分的扫描ff的选择算法和子电路的测试调度算法。实验结果表明,该方法可以生成超大规模顺序电路的测试模式,这是以往方法无法处理的。
{"title":"Selecting Partial Scan Flip-flops For Circuit Partitioning","authors":"Toshinobu Ono","doi":"10.1109/ICCAD.1994.629890","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629890","url":null,"abstract":"This paper presents a new method of selecting scan flip-flops (FFs) in partial scan designs of sequential circuits. Scan FFs are chosen so that the whole circuit can be partitioned into many small subcircuits which can be dealt with separately by a test pattern generator. This permits easy automatic test pattern generation for arbitrarily large sequential circuits. Algorithms of selecting scan FFs to allow such partitioning and of scheduling tests for subcircuits are given. Experimental results show that the proposed method makes it possible to generate test patterns for extra large sequential circuits which previous approaches cannot deal with.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77732639","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Precomputation-based Sequential Logic Optimization For Low Power 基于预计算的低功耗顺序逻辑优化
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629747
M. Alidina, J. Monteiro, S. Devadas, Abhijit Ghosh, M. Papaefthymiou
We address the problem of optimizing logic-level sequential circuits for low power. We present a powerful sequential logic optimization method that is based on selectively precomputing the output logic values of the circuit one clock cycle before they are required, and using the precomputed values to reduce internal switching activity in the succeeding clock cycle. We present two different precomputation architectures which exploit this observation.We present an automatic method of synthesizing precomputational logic so as to achieve maximal reductions in power dissipation. We present experimental results on various sequential circuits. Up to 75% reductions in average switching activity and power dissipation are possible with marginal increases in circuit area and delay.
我们解决了优化低功耗逻辑级顺序电路的问题。我们提出了一种功能强大的顺序逻辑优化方法,该方法基于选择性地预先计算电路的一个时钟周期的输出逻辑值,并使用预先计算的值来减少后续时钟周期中的内部开关活动。我们提出了两种不同的预计算架构来利用这一观察结果。我们提出了一种自动合成预计算逻辑的方法,以达到最大限度地降低功耗。我们给出了在各种顺序电路上的实验结果。在电路面积和延迟略有增加的情况下,平均开关活动和功耗可降低75%。
{"title":"Precomputation-based Sequential Logic Optimization For Low Power","authors":"M. Alidina, J. Monteiro, S. Devadas, Abhijit Ghosh, M. Papaefthymiou","doi":"10.1109/ICCAD.1994.629747","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629747","url":null,"abstract":"We address the problem of optimizing logic-level sequential circuits for low power. We present a powerful sequential logic optimization method that is based on selectively precomputing the output logic values of the circuit one clock cycle before they are required, and using the precomputed values to reduce internal switching activity in the succeeding clock cycle. We present two different precomputation architectures which exploit this observation.\u0000We present an automatic method of synthesizing precomputational logic so as to achieve maximal reductions in power dissipation. We present experimental results on various sequential circuits. Up to 75% reductions in average switching activity and power dissipation are possible with marginal increases in circuit area and delay.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89314042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 357
Universal logic gate for FPGA design 通用逻辑门的FPGA设计
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629760
Chih-Chang Lin, M. Marek-Sadowska, Duane Gatlin
In this paper the problem of selecting an appropriate programmable cell structure for FPGA architecture design is addressed. The cells studied here can be configured to the desired functionality by applying input permutation, negation, bridging or constant assignment, or output negation. A general methodology to determine logic description of such cells, which are capable of being configured to a given set of functions is described.Experimental results suggest that the new cell behaves as well as the Actel 2 cell in terms of logic power but requires substantially less area and wiring overhead.
本文讨论了FPGA结构设计中可编程单元结构的选择问题。这里研究的细胞可以通过应用输入置换、否定、桥接或恒定分配或输出否定来配置所需的功能。描述了确定此类单元的逻辑描述的一般方法,这些单元能够被配置为给定的一组功能。实验结果表明,就逻辑功率而言,新电池的性能与Actel 2电池一样好,但所需的面积和布线开销大大减少。
{"title":"Universal logic gate for FPGA design","authors":"Chih-Chang Lin, M. Marek-Sadowska, Duane Gatlin","doi":"10.1109/ICCAD.1994.629760","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629760","url":null,"abstract":"In this paper the problem of selecting an appropriate programmable cell structure for FPGA architecture design is addressed. The cells studied here can be configured to the desired functionality by applying input permutation, negation, bridging or constant assignment, or output negation. A general methodology to determine logic description of such cells, which are capable of being configured to a given set of functions is described.\u0000Experimental results suggest that the new cell behaves as well as the Actel 2 cell in terms of logic power but requires substantially less area and wiring overhead.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86666608","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 30
On Error Correction In Macro-based Circuits 基于宏的电路中的纠错
Pub Date : 1994-11-06 DOI: 10.1109/ICCAD.1994.629877
I. Pomeranz, S. Reddy
We consider the problem of correcting errors in a macro-based circuit. Our formulation of the problem allows the correction of errors that arise both in the context of design error correction, before the circuit is realized, and in the context where a physical circuit needs to be corrected. Two error classes are defined, namely, component errors and line errors. Both single and multiple errors are considered. Accurate correction procedures are given for single errors. Heuristics are given for correcting multiple errors. Experimental results are given to demonstrate the correction procedures presented.
研究了基于宏的电路中的误差校正问题。我们的问题公式允许在电路实现之前,在需要纠正物理电路的情况下,在设计纠错的情况下,以及在需要纠正物理电路的情况下,纠正错误。定义了两类错误,即分量错误和行错误。考虑了单错误和多错误。对单个错误给出了精确的修正程序。给出了修正多重错误的启发式方法。实验结果验证了所提出的修正方法。
{"title":"On Error Correction In Macro-based Circuits","authors":"I. Pomeranz, S. Reddy","doi":"10.1109/ICCAD.1994.629877","DOIUrl":"https://doi.org/10.1109/ICCAD.1994.629877","url":null,"abstract":"We consider the problem of correcting errors in a macro-based circuit. Our formulation of the problem allows the correction of errors that arise both in the context of design error correction, before the circuit is realized, and in the context where a physical circuit needs to be corrected. Two error classes are defined, namely, component errors and line errors. Both single and multiple errors are considered. Accurate correction procedures are given for single errors. Heuristics are given for correcting multiple errors. Experimental results are given to demonstrate the correction procedures presented.","PeriodicalId":90518,"journal":{"name":"ICCAD. IEEE/ACM International Conference on Computer-Aided Design","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"1994-11-06","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89138497","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 27
期刊
ICCAD. IEEE/ACM International Conference on Computer-Aided Design
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1