首页 > 最新文献

2009 Asian Test Symposium最新文献

英文 中文
M-IVC: Using Multiple Input Vectors to Minimize Aging-Induced Delay M-IVC:使用多输入向量最小化老化引起的延迟
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.57
Song Jin, Yinhe Han, Lei Zhang, Huawei Li, Xiaowei Li, Guihai Yan
Negative bias temperature instability (NBTI) has been a significant reliability concern in current digital circuit design due to its effect of increasing the path delay with time and in turn degrading the circuit performance. NBTI degradation has strong dependence on input pattern and duty cycles. Based on this observation, we propose to apply multiple input vectors to the combination circuit in a non-uniform way during standby mode. Multiple input vectors can enhance the capability to control the circuit nodes, achieve smaller duty cycles to reduce the stress time of gates and thus mitigate static NBTI. A constrained multi-object optimization model is formalized to find the optimal combination of duty cycles for timing-critical paths, which in turn minimizes the increase of path delay. An ATPG-like procedure is then presented to generate the corresponding input vectors. Experimental results demonstrate that the delay increase of timing-critical paths can be mitigated significantly under long time NBTI effect (10-year) by only applying a small number of vectors.
负偏置温度不稳定性(NBTI)会随着时间的推移增加电路的路径延迟,从而降低电路的性能,是当前数字电路设计中一个重要的可靠性问题。NBTI的退化对输入模式和占空比有很强的依赖性。基于这一观察,我们建议在待机模式下以非均匀的方式将多个输入向量应用于组合电路。多输入向量可以增强电路节点的控制能力,实现更小的占空比,从而减少门的应力时间,从而减轻静态NBTI。建立了一种约束多目标优化模型,以寻找时间关键路径的最优占空比组合,从而使路径延迟的增加最小化。然后提出了一个类似于atpg的程序来生成相应的输入向量。实验结果表明,在长时间(10年)的NBTI效应下,仅使用少量的矢量就可以显著缓解时间关键路径的延迟增加。
{"title":"M-IVC: Using Multiple Input Vectors to Minimize Aging-Induced Delay","authors":"Song Jin, Yinhe Han, Lei Zhang, Huawei Li, Xiaowei Li, Guihai Yan","doi":"10.1109/ATS.2009.57","DOIUrl":"https://doi.org/10.1109/ATS.2009.57","url":null,"abstract":"Negative bias temperature instability (NBTI) has been a significant reliability concern in current digital circuit design due to its effect of increasing the path delay with time and in turn degrading the circuit performance. NBTI degradation has strong dependence on input pattern and duty cycles. Based on this observation, we propose to apply multiple input vectors to the combination circuit in a non-uniform way during standby mode. Multiple input vectors can enhance the capability to control the circuit nodes, achieve smaller duty cycles to reduce the stress time of gates and thus mitigate static NBTI. A constrained multi-object optimization model is formalized to find the optimal combination of duty cycles for timing-critical paths, which in turn minimizes the increase of path delay. An ATPG-like procedure is then presented to generate the corresponding input vectors. Experimental results demonstrate that the delay increase of timing-critical paths can be mitigated significantly under long time NBTI effect (10-year) by only applying a small number of vectors.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"48 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115961691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Test Integration for SOC Supporting Very Low-Cost Testers 测试集成的SOC支持非常低成本的测试仪
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.51
Chun-Chuan Chi, Chih-Yen Lo, Te-Wen Ko, Cheng-Wen Wu
To reduce test cost for SOC products, it is important to reduce the cost of testers. When using low-cost testers which have a limited test bandwidth to perform testing, Built-In- Self-Test (BIST) is necessary to reduce the data volume to be transmitted between the tester and the device-under-test (DUT). We enhance the SOC test integration tool, STEAC, so that it can support SOCs containing BISTed cores which are to be tested by low-cost testers. A test chip is implemented to verify the proposed technique. Experimental results show that the enhanced STEAC successfully works with the HOY wireless test system and other low-cost testers.
为了降低SOC产品的测试成本,降低测试设备的成本是非常重要的。当使用测试带宽有限的低成本测试仪进行测试时,内置自检(BIST)是必要的,以减少测试仪和被测设备(DUT)之间传输的数据量。我们增强了SOC测试集成工具STEAC,使其能够支持包含BISTed内核的SOC,这些内核将由低成本测试仪进行测试。设计了一个测试芯片来验证所提出的技术。实验结果表明,改进后的STEAC与HOY无线测试系统和其他低成本测试仪成功配合使用。
{"title":"Test Integration for SOC Supporting Very Low-Cost Testers","authors":"Chun-Chuan Chi, Chih-Yen Lo, Te-Wen Ko, Cheng-Wen Wu","doi":"10.1109/ATS.2009.51","DOIUrl":"https://doi.org/10.1109/ATS.2009.51","url":null,"abstract":"To reduce test cost for SOC products, it is important to reduce the cost of testers. When using low-cost testers which have a limited test bandwidth to perform testing, Built-In- Self-Test (BIST) is necessary to reduce the data volume to be transmitted between the tester and the device-under-test (DUT). We enhance the SOC test integration tool, STEAC, so that it can support SOCs containing BISTed cores which are to be tested by low-cost testers. A test chip is implemented to verify the proposed technique. Experimental results show that the enhanced STEAC successfully works with the HOY wireless test system and other low-cost testers.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"41 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122274279","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Exploiting Zero-Crossing for the Analysis of FM Modulated Analog/RF Signals Using Digital ATE 利用过零技术分析调频调制模拟/射频信号的数字ATE
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.56
N. Pous, F. Azaïs, L. Latorre, P. Nouet, J. Rivoir
This paper presents a reconstruction technique based on zero-crossing detection that permits analyzing FM-modulated signals using digital ATE channels. The technique relies on sampling of the analog/RF signal through a 1-bit comparator and the post-processing of the resulting bit stream. The proposed solution is validated through both simulation and hardware experiments.
本文提出了一种基于过零检测的重构技术,该技术允许使用数字ATE信道分析调频信号。该技术依赖于通过1位比较器对模拟/射频信号进行采样,并对产生的比特流进行后处理。通过仿真和硬件实验验证了该方案的有效性。
{"title":"Exploiting Zero-Crossing for the Analysis of FM Modulated Analog/RF Signals Using Digital ATE","authors":"N. Pous, F. Azaïs, L. Latorre, P. Nouet, J. Rivoir","doi":"10.1109/ATS.2009.56","DOIUrl":"https://doi.org/10.1109/ATS.2009.56","url":null,"abstract":"This paper presents a reconstruction technique based on zero-crossing detection that permits analyzing FM-modulated signals using digital ATE channels. The technique relies on sampling of the analog/RF signal through a 1-bit comparator and the post-processing of the resulting bit stream. The proposed solution is validated through both simulation and hardware experiments.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125589507","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
On the Generation of Functional Test Programs for the Cache Replacement Logic 缓存替换逻辑功能测试程序的生成研究
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.37
H. WilsonJ.Pérez, D. Ravotto, E. Sánchez, M. Reorda, A. Tonda
Caches are crucial components in modern processors (both stand-alone or integrated into SoCs) and their test is a challenging task, especially when addressing complex and high-frequency devices. While the test of the memory array within the cache is usually accomplished resorting to BIST circuitry implementing March test inspired solutions, testing the cache controller logic poses some specific issues, mainly stemming from its limited accessibility. One possible solution consists in letting the processor execute suitable test programs, allowing the detection of possible faults by looking at the results they produce. In this paper we face the issue of generating suitable programs for testing the replacement logic in set-associative caches that implement a deterministic replacement policy. A test program generation approach based on modeling the replacement mechanism as a Finite State Machine (FSM) is proposed. Experimental results with a cache implementing a LRU policy are provided to assess the effectiveness of the method.
缓存是现代处理器(无论是独立的还是集成到soc中的)中的关键组件,它们的测试是一项具有挑战性的任务,特别是在处理复杂和高频设备时。虽然缓存内存储阵列的测试通常是通过BIST电路实现三月测试启发的解决方案来完成的,但测试缓存控制器逻辑会带来一些特定的问题,主要源于其有限的可访问性。一种可能的解决方案是让处理器执行适当的测试程序,通过查看它们产生的结果来检测可能的故障。在本文中,我们面临的问题是生成合适的程序来测试集合关联缓存中实现确定性替换策略的替换逻辑。提出了一种基于将替换机制建模为有限状态机的测试程序生成方法。最后给出了实现LRU策略的缓存的实验结果,以评估该方法的有效性。
{"title":"On the Generation of Functional Test Programs for the Cache Replacement Logic","authors":"H. WilsonJ.Pérez, D. Ravotto, E. Sánchez, M. Reorda, A. Tonda","doi":"10.1109/ATS.2009.37","DOIUrl":"https://doi.org/10.1109/ATS.2009.37","url":null,"abstract":"Caches are crucial components in modern processors (both stand-alone or integrated into SoCs) and their test is a challenging task, especially when addressing complex and high-frequency devices. While the test of the memory array within the cache is usually accomplished resorting to BIST circuitry implementing March test inspired solutions, testing the cache controller logic poses some specific issues, mainly stemming from its limited accessibility. One possible solution consists in letting the processor execute suitable test programs, allowing the detection of possible faults by looking at the results they produce. In this paper we face the issue of generating suitable programs for testing the replacement logic in set-associative caches that implement a deterministic replacement policy. A test program generation approach based on modeling the replacement mechanism as a Finite State Machine (FSM) is proposed. Experimental results with a cache implementing a LRU policy are provided to assess the effectiveness of the method.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127803778","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification 基于感测放大的3D集成电路键合前片上TSV测试
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.42
Po-Yuan Chen, Cheng-Wen Wu, D. Kwai
We present a novel testing scheme for TSVs in a 3D IC by performing on-chip TSV monitoring before bonding, using a sense amplification technique that is commonly seen on a DRAM. By virtue of the inherent capacitive characteristics, we can detect the faulty TSVs with little area overhead for the circuit under test.
我们提出了一种新的测试方案,通过在键合前执行片上TSV监测,在3D IC中使用在DRAM上常见的感测放大技术。利用其固有的电容特性,可以在很小的面积开销下检测出故障的tsv。
{"title":"On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification","authors":"Po-Yuan Chen, Cheng-Wen Wu, D. Kwai","doi":"10.1109/ATS.2009.42","DOIUrl":"https://doi.org/10.1109/ATS.2009.42","url":null,"abstract":"We present a novel testing scheme for TSVs in a 3D IC by performing on-chip TSV monitoring before bonding, using a sense amplification technique that is commonly seen on a DRAM. By virtue of the inherent capacitive characteristics, we can detect the faulty TSVs with little area overhead for the circuit under test.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"35 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133125973","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 142
Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug 在基于跟踪缓冲的硅调试中使用非平凡逻辑含义
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.20
S. Prabhakar, M. Hsiao
An effective silicon debug technique uses a trace buffer to monitor and capture a portion of the circuit response during its functional, post-silicon operation. Due to the limited space of the available trace buffer, selection of the critical trace signals plays an important role in both minimizing the number of signals traced and maximizing the observability/restorability of other untraced signals during post-silicon validation. This paper presents a new method for trace buffer signal selection for the purpose of post-silicon debug. The selection is performed by favoring those signals with the most number of implications that are not implied by other signals. Then, based on the values of the traced signals during silicon debug, we introduce an algorithm which uses a SAT-based multi-node implication engine to restore the values of untraced signals across multiple time-frames. Experimental results for sequential benchmark circuits showed that the proposed approach selects the trace signals effectively, giving a high restoration percentage compared with other techniques.
一种有效的硅调试技术使用跟踪缓冲器来监视和捕获电路在其功能性后硅操作期间的一部分响应。由于可用跟踪缓冲区的空间有限,在硅后验证期间,关键跟踪信号的选择在最小化跟踪信号数量和最大化其他未跟踪信号的可观察性/可恢复性方面起着重要作用。本文提出了一种用于后硅调试的跟踪缓冲信号选择的新方法。选择是通过支持那些具有最多的含义的信号来执行的,而这些含义没有被其他信号所暗示。然后,根据硅调试过程中跟踪信号的值,提出了一种利用基于sat的多节点隐含引擎跨多个时间帧恢复未跟踪信号值的算法。序列基准电路的实验结果表明,该方法可以有效地选择跟踪信号,与其他方法相比,具有较高的恢复率。
{"title":"Using Non-trivial Logic Implications for Trace Buffer-Based Silicon Debug","authors":"S. Prabhakar, M. Hsiao","doi":"10.1109/ATS.2009.20","DOIUrl":"https://doi.org/10.1109/ATS.2009.20","url":null,"abstract":"An effective silicon debug technique uses a trace buffer to monitor and capture a portion of the circuit response during its functional, post-silicon operation. Due to the limited space of the available trace buffer, selection of the critical trace signals plays an important role in both minimizing the number of signals traced and maximizing the observability/restorability of other untraced signals during post-silicon validation. This paper presents a new method for trace buffer signal selection for the purpose of post-silicon debug. The selection is performed by favoring those signals with the most number of implications that are not implied by other signals. Then, based on the values of the traced signals during silicon debug, we introduce an algorithm which uses a SAT-based multi-node implication engine to restore the values of untraced signals across multiple time-frames. Experimental results for sequential benchmark circuits showed that the proposed approach selects the trace signals effectively, giving a high restoration percentage compared with other techniques.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"43 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131688639","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 52
A Jitter Characterizing BIST with Pulse-Amplifying Technique 用脉冲放大技术表征BIST的抖动
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.23
An-Sheng Chao, Soon-Jyh Chang
A built-in self-test (BIST) circuit for jitter measurement is proposed. The BIST circuit contains an improved cyclic time-to-digital converter (TDC) to achieve 6-ps resolution, and a pulse amplifier (PA) in front of the cyclic TDC to equivalently enhance timing resolution to 0.6 ps. The quantity of jitter is derived by analyzing the digital output codes of the BIST circuit. The input frequency range of the signal-under-test (SUT) is from 200 MHz to 2 GHz for 0.6-ps timing resolution, and from 100 Hz to 2 GHz for 6-ps timing resolution. In addition to the wide input frequency range and fine resolution, the proposed BIST reduces testing time maximally by 95 % in comparison with the conventional BIST circuit based on component-invariant vernier delay line TDC. The presented BIST circuit occupies 0.6 X 0.336 mm2 in a 0.18-um CMOS process.
提出了一种用于抖动测量的内置自检电路(BIST)。BIST电路包含一个改进的周期时间-数字转换器(TDC)以达到6 ps的分辨率,并在循环TDC前面添加一个脉冲放大器(PA)以等效地将时序分辨率提高到0.6 ps。通过分析BIST电路的数字输出代码,得出了抖动量。待测信号(SUT)的输入频率范围为200mhz至2ghz (0.6 ps定时分辨率)和100hz至2ghz (6ps定时分辨率)。除了宽的输入频率范围和良好的分辨率外,与基于分量不变游标延迟线TDC的传统BIST电路相比,该电路最大限度地减少了95%的测试时间。所提出的BIST电路在0.18 um CMOS工艺中占地0.6 X 0.336 mm2。
{"title":"A Jitter Characterizing BIST with Pulse-Amplifying Technique","authors":"An-Sheng Chao, Soon-Jyh Chang","doi":"10.1109/ATS.2009.23","DOIUrl":"https://doi.org/10.1109/ATS.2009.23","url":null,"abstract":"A built-in self-test (BIST) circuit for jitter measurement is proposed. The BIST circuit contains an improved cyclic time-to-digital converter (TDC) to achieve 6-ps resolution, and a pulse amplifier (PA) in front of the cyclic TDC to equivalently enhance timing resolution to 0.6 ps. The quantity of jitter is derived by analyzing the digital output codes of the BIST circuit. The input frequency range of the signal-under-test (SUT) is from 200 MHz to 2 GHz for 0.6-ps timing resolution, and from 100 Hz to 2 GHz for 6-ps timing resolution. In addition to the wide input frequency range and fine resolution, the proposed BIST reduces testing time maximally by 95 % in comparison with the conventional BIST circuit based on component-invariant vernier delay line TDC. The presented BIST circuit occupies 0.6 X 0.336 mm2 in a 0.18-um CMOS process.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129285327","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
On Scan Chain Diagnosis for Intermittent Faults 间歇故障的扫描链诊断
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.74
Dan Adolfsson, J. Siew, E. Marinissen, E. Larsson
Diagnosis is increasingly important, not only for individual analysis of failing ICs, but also for high-volume test response analysis which enables yield and test improvement. Scan chain defects constitute a significant fraction of the overall digital defect universe, and hence it is well justified that scan chain diagnosis has received increasing research attention in recent years. In this paper, we address the problem of scan chain diagnosis for intermittent faults. We show that the conventional scan chain test pattern is likely to miss an intermittent fault, or inaccurately diagnose it. We propose an improved scan chain test pattern which we show to be effective. Subsequently, we demonstrate that the conventional bound calculation algorithm is likely to produce wrong results in the case of an intermittent fault. We propose a new lowerbound calculation method which does generate correct and tight bounds, even for an intermittence probability as low as 10%.
诊断越来越重要,不仅对失效集成电路的单独分析,而且对能够提高成品率和测试质量的大批量测试响应分析也越来越重要。扫描链缺陷构成了整个数字缺陷宇宙的重要组成部分,因此扫描链诊断近年来受到越来越多的研究关注是有充分理由的。本文研究了间歇故障的扫描链诊断问题。我们表明,传统的扫描链测试模式很可能错过间歇性故障,或不准确地诊断它。我们提出了一种改进的扫描链测试模式,并证明该模式是有效的。随后,我们证明了传统的边界计算算法在间歇性故障的情况下可能会产生错误的结果。我们提出了一种新的下界计算方法,即使在间歇性概率低至10%的情况下,也能产生正确而紧密的边界。
{"title":"On Scan Chain Diagnosis for Intermittent Faults","authors":"Dan Adolfsson, J. Siew, E. Marinissen, E. Larsson","doi":"10.1109/ATS.2009.74","DOIUrl":"https://doi.org/10.1109/ATS.2009.74","url":null,"abstract":"Diagnosis is increasingly important, not only for individual analysis of failing ICs, but also for high-volume test response analysis which enables yield and test improvement. Scan chain defects constitute a significant fraction of the overall digital defect universe, and hence it is well justified that scan chain diagnosis has received increasing research attention in recent years. In this paper, we address the problem of scan chain diagnosis for intermittent faults. We show that the conventional scan chain test pattern is likely to miss an intermittent fault, or inaccurately diagnose it. We propose an improved scan chain test pattern which we show to be effective. Subsequently, we demonstrate that the conventional bound calculation algorithm is likely to produce wrong results in the case of an intermittent fault. We propose a new lowerbound calculation method which does generate correct and tight bounds, even for an intermittence probability as low as 10%.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"23 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116870218","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
New Class of Tests for Open Faults with Considering Adjacent Lines 考虑相邻线路的新一类开放故障试验
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.39
Hiroshi Takahashi, Y. Higami, Y. Takamatsu, K. Yamazaki, Toshiyuki Tsutsumi, H. Yotsuyanagi, M. Hashizume
Under the open fault model with considering the effects of adjacent lines, the open fault excitation is depended on the tests. Therefore, the layout information is needed to generate a test for an open fault. However, it is not easy to extract accurate circuit parameters of a deep sub-micron LSI. We have already proposed an open fault model without using the accurate circuit parameters cite{yanagi09, yamazaki09, tsume08}.In this paper, we propose a new class of the pair of tests for the open fault called Ordered Pair of Tests (OPT). OPT is generated based on the fault excitation function as a threshold function of the adjacent lines. Also we propose a method for generating OPTs from the given stuck-at fault test set. The proposed method generates OPTs using only information about adjacent lines of the target open fault. Experimental results show that the proposed method can generate the OPTs for the open faults with high fault coverage.
在考虑相邻线路影响的开路故障模型下,开路故障的激励依赖于试验。因此,需要布局信息来生成针对开放故障的测试。然而,精确提取深亚微米级大规模集成电路的电路参数并不容易。我们已经提出了一种不使用精确电路参数的开路故障模型cite{yanagi09, yamazaki09, tsume08},在本文中,我们提出了一类新的开路故障测试对,称为有序测试对(OPT)。OPT是基于故障激励函数作为相邻线路的阈值函数生成的。此外,我们还提出了一种从给定的卡故障测试集生成opt的方法。该方法仅利用目标开路故障相邻线路的信息生成opt。实验结果表明,该方法能够生成故障覆盖率高的开放故障的opt。
{"title":"New Class of Tests for Open Faults with Considering Adjacent Lines","authors":"Hiroshi Takahashi, Y. Higami, Y. Takamatsu, K. Yamazaki, Toshiyuki Tsutsumi, H. Yotsuyanagi, M. Hashizume","doi":"10.1109/ATS.2009.39","DOIUrl":"https://doi.org/10.1109/ATS.2009.39","url":null,"abstract":"Under the open fault model with considering the effects of adjacent lines, the open fault excitation is depended on the tests. Therefore, the layout information is needed to generate a test for an open fault. However, it is not easy to extract accurate circuit parameters of a deep sub-micron LSI. We have already proposed an open fault model without using the accurate circuit parameters cite{yanagi09, yamazaki09, tsume08}.In this paper, we propose a new class of the pair of tests for the open fault called Ordered Pair of Tests (OPT). OPT is generated based on the fault excitation function as a threshold function of the adjacent lines. Also we propose a method for generating OPTs from the given stuck-at fault test set. The proposed method generates OPTs using only information about adjacent lines of the target open fault. Experimental results show that the proposed method can generate the OPTs for the open faults with high fault coverage.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"139 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114509365","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A Practical DFT Approach for Complex Low Power Designs 复杂低功耗设计的实用DFT方法
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.61
A. Kifli, Y. W. Chen, Yu-Wen Tsai, Kun-Cheng Wu
Low power designs create new challenges in design implementation, verification and testing. DFT practice that overlooks test power may result in yield loss/overkill during manufacturing test. This paper addresses the practical problems often encountered during DFT implementation and manufacturing test for complex low power designs.
低功耗设计在设计实现、验证和测试方面带来了新的挑战。忽略测试功率的DFT实践可能导致生产测试期间良率损失/过量。本文讨论了复杂低功耗设计在DFT实现和制造测试中经常遇到的实际问题。
{"title":"A Practical DFT Approach for Complex Low Power Designs","authors":"A. Kifli, Y. W. Chen, Yu-Wen Tsai, Kun-Cheng Wu","doi":"10.1109/ATS.2009.61","DOIUrl":"https://doi.org/10.1109/ATS.2009.61","url":null,"abstract":"Low power designs create new challenges in design implementation, verification and testing. DFT practice that overlooks test power may result in yield loss/overkill during manufacturing test. This paper addresses the practical problems often encountered during DFT implementation and manufacturing test for complex low power designs.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"262 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115598275","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
2009 Asian Test Symposium
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1