首页 > 最新文献

2009 Asian Test Symposium最新文献

英文 中文
BIST Driven Power Conscious Post-Manufacture Tuning of Wireless Transceiver Systems Using Hardware-Iterated Gradient Search 基于硬件迭代梯度搜索的BIST驱动的无线收发器系统的功率有意识制造后调谐
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.75
Vishwanath Natarajan, S. Devarakond, Shreyas Sen, A. Chatterjee
In this paper, a fast RF BIST-driven post-manufacture tuning methodology for yield improvement of RF transceiver systems is presented. The core algorithms optimize multiple transceiver performance metrics concurrently using a hardware-iterated gradient search algorithm that uses diagnostic BIST data to guide the tuning of circuit and software level parameters. Intelligent “initial guess” values for the circuit and software tuning knobs at the start of the tuning process allow rapid convergence. Power consumption is given key consideration through the tuning process. Further, self-tuning is performed with little or no external tester support. The viability of the proposed scheme has been demonstrated through an experimental RF hardware prototype. Experimental results demonstrate significant yield recovery while allowing up to 10X savings in test/tuning time.
在本文中,提出了一种快速射频bist驱动的制造后调谐方法,用于提高射频收发器系统的良率。核心算法使用硬件迭代梯度搜索算法同时优化多个收发器性能指标,该算法使用诊断BIST数据来指导电路和软件级参数的调整。智能的“初始猜测”值的电路和软件调谐旋钮在调谐过程的开始允许快速收敛。在调优过程中,功耗是关键考虑因素。此外,自调优是在很少或没有外部测试人员支持的情况下执行的。提出的方案的可行性已通过实验射频硬件样机证明。实验结果显示了显著的产量恢复,同时可以节省高达10倍的测试/调优时间。
{"title":"BIST Driven Power Conscious Post-Manufacture Tuning of Wireless Transceiver Systems Using Hardware-Iterated Gradient Search","authors":"Vishwanath Natarajan, S. Devarakond, Shreyas Sen, A. Chatterjee","doi":"10.1109/ATS.2009.75","DOIUrl":"https://doi.org/10.1109/ATS.2009.75","url":null,"abstract":"In this paper, a fast RF BIST-driven post-manufacture tuning methodology for yield improvement of RF transceiver systems is presented. The core algorithms optimize multiple transceiver performance metrics concurrently using a hardware-iterated gradient search algorithm that uses diagnostic BIST data to guide the tuning of circuit and software level parameters. Intelligent “initial guess” values for the circuit and software tuning knobs at the start of the tuning process allow rapid convergence. Power consumption is given key consideration through the tuning process. Further, self-tuning is performed with little or no external tester support. The viability of the proposed scheme has been demonstrated through an experimental RF hardware prototype. Experimental results demonstrate significant yield recovery while allowing up to 10X savings in test/tuning time.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"30 6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116885141","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 20
Test Pattern Selection for Potentially Harmful Open Defects in Power Distribution Networks 配电网潜在有害开路缺陷试验模式选择
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.69
Yubin Zhang, Lin Huang, F. Yuan, Q. Xu
Power distribution network (PDN) designs for today's high performance integrated circuits (ICs) typically occupy a significant share of metal resources in the circuit, and hence defects may be introduced on PDNs during the manufacturing process. Since we cannot afford to over-design the PDNs to tolerate all possible defects, it is necessary to conduct manufacturing test for them. In this paper, we propose novel methodologies to identify those potentially harmful open defects in PDNs and we show how to select a set of patterns that initially target transition faults to achieve high fault coverage for the PDN defects. Experimental results on benchmark circuits demonstrate the effectiveness of the proposed technique.
当今高性能集成电路(ic)的配电网络(PDN)设计通常占用电路中大量的金属资源,因此在制造过程中可能会在PDN上引入缺陷。由于我们不能承受过度设计pdn以容忍所有可能的缺陷,因此有必要对它们进行制造测试。在本文中,我们提出了新的方法来识别PDN中潜在的有害开放缺陷,并展示了如何选择一组最初针对转换错误的模式,以实现PDN缺陷的高错误覆盖率。在基准电路上的实验结果证明了该方法的有效性。
{"title":"Test Pattern Selection for Potentially Harmful Open Defects in Power Distribution Networks","authors":"Yubin Zhang, Lin Huang, F. Yuan, Q. Xu","doi":"10.1109/ATS.2009.69","DOIUrl":"https://doi.org/10.1109/ATS.2009.69","url":null,"abstract":"Power distribution network (PDN) designs for today's high performance integrated circuits (ICs) typically occupy a significant share of metal resources in the circuit, and hence defects may be introduced on PDNs during the manufacturing process. Since we cannot afford to over-design the PDNs to tolerate all possible defects, it is necessary to conduct manufacturing test for them. In this paper, we propose novel methodologies to identify those potentially harmful open defects in PDNs and we show how to select a set of patterns that initially target transition faults to achieve high fault coverage for the PDN defects. Experimental results on benchmark circuits demonstrate the effectiveness of the proposed technique.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"42 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123457008","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
DFT Challenges in Next Generation Multi-media IP 下一代多媒体IP中的DFT挑战
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.73
Mukund Mittal, Subrangshu Das, S. Vishwanath
Multi-media based applications have increased immensely in the last few years. The need to have better video quality, higher recording and playback time, more video channels and faster time to market (TTM) requires DFT solutions that use core-based testing to allow concurrent IP and SOC development, scalable to support multiple technologies and eases the development of timing constraints. This paper describes the challenges and solutions used to address them.
基于多媒体的应用程序在过去几年中有了极大的增长。对更好的视频质量、更高的录制和播放时间、更多的视频通道和更快的上市时间(TTM)的需求要求DFT解决方案使用基于核心的测试来允许并发IP和SOC开发,可扩展以支持多种技术,并减轻开发时间限制。本文描述了挑战和解决这些问题的解决方案。
{"title":"DFT Challenges in Next Generation Multi-media IP","authors":"Mukund Mittal, Subrangshu Das, S. Vishwanath","doi":"10.1109/ATS.2009.73","DOIUrl":"https://doi.org/10.1109/ATS.2009.73","url":null,"abstract":"Multi-media based applications have increased immensely in the last few years. The need to have better video quality, higher recording and playback time, more video channels and faster time to market (TTM) requires DFT solutions that use core-based testing to allow concurrent IP and SOC development, scalable to support multiple technologies and eases the development of timing constraints. This paper describes the challenges and solutions used to address them.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116225644","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Bit-Operation-Based Seed Augmentation for LFSR Reseeding with High Defect Coverage 基于位运算的高缺陷覆盖率LFSR补播种子增强
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.65
Hongxia Fang, K. Chakrabarty, R. Parekhji
We present a design-for-testability (DFT) technique for increasing the effectiveness of LFSR reseeding for unmodeled defects. The proposed method relies on seed selection using the output-deviations metric and the on-chip augmentation of seeds using simple bit-operations. Simulation results for benchmark circuits show that compared to LFSR reseeding using output deviations alone, the proposed method provides higher coverage for transition-delay and bridging faults, and steeper coverage ramp-up for these faults for the same number of seeds. For the same pattern count (and much fewer seeds), the proposed method provides comparable unmodeled defect coverage. In all cases, complete coverage of modeled stuck-at faults is obtained. We therefore conclude that high test quality can be obtained with the proposed LFSR reseeding method using a smaller number of seeds.
我们提出了一种可测试性设计(DFT)技术,以提高LFSR重新播种对未建模缺陷的有效性。所提出的方法依赖于使用输出偏差度量的种子选择和使用简单的位操作的片上种子扩增。对基准电路的仿真结果表明,与仅使用输出偏差的LFSR重播相比,该方法对过渡延迟和桥接故障提供了更高的覆盖率,并且在相同数量的种子下,对这些故障的覆盖率上升幅度更大。对于相同的模式计数(以及更少的种子),建议的方法提供了可比较的未建模缺陷覆盖率。在所有情况下,都可以获得完全覆盖的模型卡滞故障。因此,我们得出结论,采用较少种子数量的LFSR补播方法可以获得较高的测试质量。
{"title":"Bit-Operation-Based Seed Augmentation for LFSR Reseeding with High Defect Coverage","authors":"Hongxia Fang, K. Chakrabarty, R. Parekhji","doi":"10.1109/ATS.2009.65","DOIUrl":"https://doi.org/10.1109/ATS.2009.65","url":null,"abstract":"We present a design-for-testability (DFT) technique for increasing the effectiveness of LFSR reseeding for unmodeled defects. The proposed method relies on seed selection using the output-deviations metric and the on-chip augmentation of seeds using simple bit-operations. Simulation results for benchmark circuits show that compared to LFSR reseeding using output deviations alone, the proposed method provides higher coverage for transition-delay and bridging faults, and steeper coverage ramp-up for these faults for the same number of seeds. For the same pattern count (and much fewer seeds), the proposed method provides comparable unmodeled defect coverage. In all cases, complete coverage of modeled stuck-at faults is obtained. We therefore conclude that high test quality can be obtained with the proposed LFSR reseeding method using a smaller number of seeds.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"82 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126244584","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A FPGA-Based Reconfigurable Software Architecture for Highly Dependable Systems 基于fpga的高可靠性系统可重构软件体系结构
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.53
S. Carlo, P. Prinetto, A. Scionti
Nowadays, Systems-On-Chip are commonly equipped with reconfigurable hardware. The use of hybrid architectures based on a mixture of general purpose processors and reconfigurable components has gained importance across the scientific community allowing a significant improvement of computational performance. Along with the demand for performance, the great sensitivity of reconfigurable hardware devices to physical defects lead to the request of highly dependable and fault tolerant systems. This paper proposes an FPGA-based reconfigurable software architecture able to abstract the underlying hardware platform giving an homogeneous view of it. The abstraction mechanism is used to implement fault tolerance mechanisms with a minimum impact on the system performance.
如今,片上系统通常配备可重构硬件。基于通用处理器和可重构组件混合的混合体系结构的使用在科学界中变得越来越重要,从而显著提高了计算性能。随着对性能的要求,可重构硬件设备对物理缺陷的高度敏感性导致了对高可靠性和容错性系统的要求。本文提出了一种基于fpga的可重构软件体系结构,该体系结构能够抽象底层硬件平台,提供同构视图。抽象机制用于实现对系统性能影响最小的容错机制。
{"title":"A FPGA-Based Reconfigurable Software Architecture for Highly Dependable Systems","authors":"S. Carlo, P. Prinetto, A. Scionti","doi":"10.1109/ATS.2009.53","DOIUrl":"https://doi.org/10.1109/ATS.2009.53","url":null,"abstract":"Nowadays, Systems-On-Chip are commonly equipped with reconfigurable hardware. The use of hybrid architectures based on a mixture of general purpose processors and reconfigurable components has gained importance across the scientific community allowing a significant improvement of computational performance. Along with the demand for performance, the great sensitivity of reconfigurable hardware devices to physical defects lead to the request of highly dependable and fault tolerant systems. This paper proposes an FPGA-based reconfigurable software architecture able to abstract the underlying hardware platform giving an homogeneous view of it. The abstraction mechanism is used to implement fault tolerance mechanisms with a minimum impact on the system performance.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"28 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125727160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Test Pattern Selection and Customization Targeting Reduced Dynamic and Leakage Power Consumption 以降低动态和泄漏功耗为目标的测试模式选择和定制
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.35
Subhadip Kundu, S. K. Kumar, S. Chattopadhyay
Test mode power dissipation has been found to be much more than the functional power dissipation. Since dynamic power dissipation had a major contribution to the heat generated, most of the studies focused on reducing the transitions during testing. But at submicron technology, leakage current becomes significantly high. This demands a control on the leakage current as well. In this work, we propose techniques to simultaneously reduce the switching activity and keeping the leakage current under check. The overall average switching activity reduction is 70.01% and reduction in leakage power is about 6.31%, the maximum being 99.33% in switching and 9.92% in leakage.
测试模式功耗已被发现远远大于功能功耗。由于动态功耗是产生热量的主要因素,因此大多数研究都集中在减少测试过程中的过渡上。但在亚微米技术,泄漏电流变得明显高。这就要求对漏电流进行控制。在这项工作中,我们提出了同时降低开关活动和保持泄漏电流的技术。总体平均开关活度降低70.01%,泄漏功率降低约6.31%,其中开关最大降低99.33%,泄漏最大降低9.92%。
{"title":"Test Pattern Selection and Customization Targeting Reduced Dynamic and Leakage Power Consumption","authors":"Subhadip Kundu, S. K. Kumar, S. Chattopadhyay","doi":"10.1109/ATS.2009.35","DOIUrl":"https://doi.org/10.1109/ATS.2009.35","url":null,"abstract":"Test mode power dissipation has been found to be much more than the functional power dissipation. Since dynamic power dissipation had a major contribution to the heat generated, most of the studies focused on reducing the transitions during testing. But at submicron technology, leakage current becomes significantly high. This demands a control on the leakage current as well. In this work, we propose techniques to simultaneously reduce the switching activity and keeping the leakage current under check. The overall average switching activity reduction is 70.01% and reduction in leakage power is about 6.31%, the maximum being 99.33% in switching and 9.92% in leakage.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"10 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125248455","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
A Post-Silicon Debug Support Using High-Level Design Description 使用高级设计描述的后硅调试支持
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.28
Yeonbok Lee, Tasuku Nishihara, Takeshi Matsumoto, M. Fujita
In this paper, we propose a post-silicon debug framework utilizing high-level design description, which provides great advantage of comprehensibility and readability in analyzing erroneous behaviors for debugging complicated post-silicon errors. The framework consists of the following methods; mapping between high-level and RTL, extracting error-relevant portions, and rank them by the degree of relevance with the error. We also exhibit several experimental results to show its effectiveness.
本文提出了一种基于高级设计描述的后硅调试框架,该框架在分析错误行为方面具有很大的可理解性和可读性,可用于调试复杂的后硅错误。该框架包括以下方法;高级和RTL之间的映射,提取与错误相关的部分,并根据与错误的相关程度对它们进行排序。我们还展示了几个实验结果来证明它的有效性。
{"title":"A Post-Silicon Debug Support Using High-Level Design Description","authors":"Yeonbok Lee, Tasuku Nishihara, Takeshi Matsumoto, M. Fujita","doi":"10.1109/ATS.2009.28","DOIUrl":"https://doi.org/10.1109/ATS.2009.28","url":null,"abstract":"In this paper, we propose a post-silicon debug framework utilizing high-level design description, which provides great advantage of comprehensibility and readability in analyzing erroneous behaviors for debugging complicated post-silicon errors. The framework consists of the following methods; mapping between high-level and RTL, extracting error-relevant portions, and rank them by the degree of relevance with the error. We also exhibit several experimental results to show its effectiveness.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114082249","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Very-Low-Voltage Testing of Amorphous Silicon TFT Circuits 非晶硅TFT电路的极低压测试
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.68
Shiue-Tsung Shen, Weihsing Liu, En-Hua Ma, C. Li, I. Cheng
This paper presents Very-low-voltage (VLV) testing for digital NMOS circuits based on amorphous silicon thin film transistor (a-Si TFT) technology. The proposed VLV testing is an economic alternative to burn-in because the former is non-destructive and can be easily performed on regular ATE in a short time. 140 circuits under test (CUT) of two different design styles are implemented in 8mm a-Si TFT technology on the glass substrate. All CUT are tested both at nominal voltage (10V) and very low voltage (7V), followed by a 200 second voltage stress at 30V. Seven unreliable CUT that escape nominal voltage (NV) testing are successfully caught by VLV testing and there is no CUT that is caught by NV testing but escapes VLV testing. The results indicate that VLV testing is more effective than NV testing in screening out unreliable a-Si TFT circuits.
本文介绍了基于非晶硅薄膜晶体管(a-Si TFT)技术的数字NMOS电路的极低压测试。提出的VLV测试是一种经济的替代方案,因为前者是非破坏性的,可以在短时间内轻松地在常规ATE上进行。两种不同设计风格的140个被测电路(CUT)在玻璃基板上采用8mm a-Si TFT技术实现。所有CUT都在标称电压(10V)和极低电压(7V)下进行测试,然后在30V下进行200秒的电压应力测试。逃避标称电压(NV)测试的7个不可靠的CUT被VLV测试成功捕获,并且没有被NV测试捕获但逃避VLV测试的CUT。结果表明,VLV测试比NV测试在筛选不可靠的a-Si TFT电路方面更有效。
{"title":"Very-Low-Voltage Testing of Amorphous Silicon TFT Circuits","authors":"Shiue-Tsung Shen, Weihsing Liu, En-Hua Ma, C. Li, I. Cheng","doi":"10.1109/ATS.2009.68","DOIUrl":"https://doi.org/10.1109/ATS.2009.68","url":null,"abstract":"This paper presents Very-low-voltage (VLV) testing for digital NMOS circuits based on amorphous silicon thin film transistor (a-Si TFT) technology. The proposed VLV testing is an economic alternative to burn-in because the former is non-destructive and can be easily performed on regular ATE in a short time. 140 circuits under test (CUT) of two different design styles are implemented in 8mm a-Si TFT technology on the glass substrate. All CUT are tested both at nominal voltage (10V) and very low voltage (7V), followed by a 200 second voltage stress at 30V. Seven unreliable CUT that escape nominal voltage (NV) testing are successfully caught by VLV testing and there is no CUT that is caught by NV testing but escapes VLV testing. The results indicate that VLV testing is more effective than NV testing in screening out unreliable a-Si TFT circuits.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"12 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124980311","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Extended Selective Encoding of Scan Slices for Reducing Test Data and Test Power 减少测试数据和测试功率的扫描切片扩展选择性编码
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.63
Jun Liu, Yinhe Han, Xiaowei Li
Recently, selective encoding of scan slices is proposed to compress test data. This encoding technique, unlike many other compression techniques encoding all the bits, only encodes the target-symbol by specifying single bit index and copying group data. In this paper, we propose an extended selective encoding which presents two new techniques: flexible grouping strategy, X bits exploitation and filling strategy. Flexible grouping strategy is able to decrease the number of encoded groups to improve compression ratio. X bits exploitation and filling strategy can exploit a large number of don’t care bits to reduce testing power with no compression ratio loss. Experimental results show that the proposed technique needs less test data storage volume and reduces average weighted switching activity by 24.7%, peak weighted switching activity by 11.6% during scan shift compared to selective encoding.
近年来,人们提出了扫描切片的选择性编码来压缩测试数据。与许多其他编码所有位的压缩技术不同,这种编码技术只通过指定单个位索引和复制组数据来编码目标符号。本文提出了一种扩展的选择性编码方法,提出了两种新技术:灵活分组策略、X位开发和填充策略。灵活的分组策略可以减少编码组的数量,从而提高压缩比。X位开发和填充策略可以在不损失压缩比的情况下,利用大量的不关心位来降低测试功率。实验结果表明,与选择性编码相比,该方法减少了测试数据存储量,使扫描移位期间的平均加权切换活动降低了24.7%,峰值加权切换活动降低了11.6%。
{"title":"Extended Selective Encoding of Scan Slices for Reducing Test Data and Test Power","authors":"Jun Liu, Yinhe Han, Xiaowei Li","doi":"10.1109/ATS.2009.63","DOIUrl":"https://doi.org/10.1109/ATS.2009.63","url":null,"abstract":"Recently, selective encoding of scan slices is proposed to compress test data. This encoding technique, unlike many other compression techniques encoding all the bits, only encodes the target-symbol by specifying single bit index and copying group data. In this paper, we propose an extended selective encoding which presents two new techniques: flexible grouping strategy, X bits exploitation and filling strategy. Flexible grouping strategy is able to decrease the number of encoded groups to improve compression ratio. X bits exploitation and filling strategy can exploit a large number of don’t care bits to reduce testing power with no compression ratio loss. Experimental results show that the proposed technique needs less test data storage volume and reduces average weighted switching activity by 24.7%, peak weighted switching activity by 11.6% during scan shift compared to selective encoding.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116967940","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Logic BIST Architecture for System-Level Test and Diagnosis 用于系统级测试和诊断的逻辑BIST体系结构
Pub Date : 2009-11-23 DOI: 10.1109/ATS.2009.34
J. Qian, Xingang Wang, Qinfu Yang, Fei Zhuang, Junbo Jia, Xiangfeng Li, Yuan Zuo, J. Mekkoth, Jinsong Liu, H. Chao, Shianling Wu, Huafeng Yang, Lizhen Yu, FeiFei Zhao, Laung-Terng Wang
This paper describes the logic built-in self-test (BIST) architecture for test and diagnosis of ASIC devices at the system level. The proposed architecture supports the at speed staggered launch-on-capture clocking scheme and includes novel features to further increase the device’s defect coverage, place-and-route ability, ease of debug and diagnosis, and reduce test power consumption. These features include equivalent clock merging for routing considerations, programmable shift modes for overheat considerations, configurable capture modes for yield loss and IR-drop considerations, as well as BIST signature diagnosis, masked-chain diagnosis, and one-chain diagnosis at the system level. Experimental results have successfully demonstrated the feasibility of using the proposed features for system-level test and diagnosis.
本文介绍了用于系统级测试和诊断ASIC器件的逻辑内置自检(BIST)体系结构。所提出的架构支持高速交错发射捕获时钟方案,并包括新特性,以进一步增加器件的缺陷覆盖率,放置和路由能力,易于调试和诊断,并降低测试功耗。这些功能包括考虑路由的等效时钟合并、考虑过热的可编程移位模式、考虑产量损失和ir下降的可配置捕获模式,以及系统级的BIST签名诊断、掩码链诊断和单链诊断。实验结果成功地证明了将所提出的特征用于系统级测试和诊断的可行性。
{"title":"Logic BIST Architecture for System-Level Test and Diagnosis","authors":"J. Qian, Xingang Wang, Qinfu Yang, Fei Zhuang, Junbo Jia, Xiangfeng Li, Yuan Zuo, J. Mekkoth, Jinsong Liu, H. Chao, Shianling Wu, Huafeng Yang, Lizhen Yu, FeiFei Zhao, Laung-Terng Wang","doi":"10.1109/ATS.2009.34","DOIUrl":"https://doi.org/10.1109/ATS.2009.34","url":null,"abstract":"This paper describes the logic built-in self-test (BIST) architecture for test and diagnosis of ASIC devices at the system level. The proposed architecture supports the at speed staggered launch-on-capture clocking scheme and includes novel features to further increase the device’s defect coverage, place-and-route ability, ease of debug and diagnosis, and reduce test power consumption. These features include equivalent clock merging for routing considerations, programmable shift modes for overheat considerations, configurable capture modes for yield loss and IR-drop considerations, as well as BIST signature diagnosis, masked-chain diagnosis, and one-chain diagnosis at the system level. Experimental results have successfully demonstrated the feasibility of using the proposed features for system-level test and diagnosis.","PeriodicalId":106283,"journal":{"name":"2009 Asian Test Symposium","volume":"2 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2009-11-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127737155","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
期刊
2009 Asian Test Symposium
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1