首页 > 最新文献

IEEE Solid-State Circuits Letters最新文献

英文 中文
An Energy-Efficient Capacitive Sensor Readout Circuit With Zoomed Time-Domain Quantization 一种具有放大时域量化的高效电容式传感器读出电路
IF 2.7 Q2 Engineering Pub Date : 2023-09-12 DOI: 10.1109/LSSC.2023.3314457
Zilong Shen;Xiyuan Tang;Zhongyi Wu;Haoyang Luo;Zongnan Wang;Xiangxing Yang;Xing Zhang;Yuan Wang
This letter presents a capacitance-to-digital converter (CDC) with an incremental zoom current-controlled oscillator (CCO)-based time-domain $Delta Sigma $ modulator (TD- $Delta Sigma text{M}$ ). It supports single-sensor and single-shot measurement, which provides significant power saving. A double-PFD (DPFD) quantizer achieves $2times $ resolution enhancement compared to conventional PFD. A fast start-up scheme for CCO boosts conversion speed and ensures first conversion accuracy. The proposed design achieves 9.7 fJ/conv.-step with a short measurement time of $4.1~mu text{s}$ . To the authors’ best knowledge, it realizes the best energy efficiency and shortest measurement time among all high-resolution CDCs achieving over 12 ENOB.
这封信介绍了一种电容-数字转换器(CDC),该转换器具有基于增量缩放电流控制振荡器(CCO)的时域$DeltaSigma$调制器(TD-$Delta Sigmatext{M}$)。它支持单传感器和单次测量,可显著节省电源。与传统PFD相比,双PFD(DPFD)量化器的分辨率提高了2倍。CCO的快速启动方案提高了转换速度,并确保了首次转换的准确性。所提出的设计实现了9.7fJ/conv-步骤,测量时间短,为$4.1~mutext{s}$。据作者所知,在所有达到12 ENOB以上的高分辨率CDCs中,它实现了最佳的能效和最短的测量时间。
{"title":"An Energy-Efficient Capacitive Sensor Readout Circuit With Zoomed Time-Domain Quantization","authors":"Zilong Shen;Xiyuan Tang;Zhongyi Wu;Haoyang Luo;Zongnan Wang;Xiangxing Yang;Xing Zhang;Yuan Wang","doi":"10.1109/LSSC.2023.3314457","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3314457","url":null,"abstract":"This letter presents a capacitance-to-digital converter (CDC) with an incremental zoom current-controlled oscillator (CCO)-based time-domain \u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000 modulator (TD-\u0000<inline-formula> <tex-math>$Delta Sigma text{M}$ </tex-math></inline-formula>\u0000). It supports single-sensor and single-shot measurement, which provides significant power saving. A double-PFD (DPFD) quantizer achieves \u0000<inline-formula> <tex-math>$2times $ </tex-math></inline-formula>\u0000 resolution enhancement compared to conventional PFD. A fast start-up scheme for CCO boosts conversion speed and ensures first conversion accuracy. The proposed design achieves 9.7 fJ/conv.-step with a short measurement time of \u0000<inline-formula> <tex-math>$4.1~mu text{s}$ </tex-math></inline-formula>\u0000. To the authors’ best knowledge, it realizes the best energy efficiency and shortest measurement time among all high-resolution CDCs achieving over 12 ENOB.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68080234","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Fetal-Movement Circuit Harvesting High-Energy Plasma During Fabrication, Concept, and Its Application to Self-Programming PUF 一种在制作过程中采集高能等离子体的胎儿运动电路,概念及其在PUF自编程中的应用
IF 2.7 Q2 Engineering Pub Date : 2023-09-12 DOI: 10.1109/LSSC.2023.3313966
Kotaro Naruse;Takayuki Ueda;Jun Shiomi;Yoshihiro Midoh;Noriyuki Miura
This letter presents a concept of a circuit harvesting high-energy plasma and operating during its semiconductor fabrication process, namely, fetal-movement circuit (FMC). The plasma current collection antenna is designed to be a comb shape for area saving. This enables the FMC related circuits to be placed within a dicing street for suppressing its area penalty to be almost zero. A self-programming oxide-breakdown physically unclonable function (PUF) has been implemented as one of the FMC applications. The successful PUF programming operation during fabrication has been demonstrated.
这封信提出了一个收集高能等离子体并在其半导体制造过程中运行的电路的概念,即胎动电路(FMC)。为了节省面积,等离子体电流收集天线被设计为梳状。这使得FMC相关电路能够被放置在划片道内,以将其面积惩罚抑制为几乎为零。自编程氧化物击穿物理不可克隆功能(PUF)已被实现为FMC应用之一。已经证明了在制造过程中成功的PUF编程操作。
{"title":"A Fetal-Movement Circuit Harvesting High-Energy Plasma During Fabrication, Concept, and Its Application to Self-Programming PUF","authors":"Kotaro Naruse;Takayuki Ueda;Jun Shiomi;Yoshihiro Midoh;Noriyuki Miura","doi":"10.1109/LSSC.2023.3313966","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3313966","url":null,"abstract":"This letter presents a concept of a circuit harvesting high-energy plasma and operating during its semiconductor fabrication process, namely, fetal-movement circuit (FMC). The plasma current collection antenna is designed to be a comb shape for area saving. This enables the FMC related circuits to be placed within a dicing street for suppressing its area penalty to be almost zero. A self-programming oxide-breakdown physically unclonable function (PUF) has been implemented as one of the FMC applications. The successful PUF programming operation during fabrication has been demonstrated.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/iel7/8011414/10016898/10247264.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68079041","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
RFIC 2024 Call for Papers RFIC 2024论文征集
IF 2.7 Q2 Engineering Pub Date : 2023-09-12 DOI: 10.1109/LSSC.2023.3314253
{"title":"RFIC 2024 Call for Papers","authors":"","doi":"10.1109/LSSC.2023.3314253","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3314253","url":null,"abstract":"","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/iel7/8011414/10016898/10248416.pdf","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68079043","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Logic Fully Comparable Single-Supply Capacitor-Less 1-FinFET-1-Source-Channel-Drain-Diode (1T1D) Embedded DRAM MACRO in 16-nm FinFET 一种逻辑完全可比较的单电源无电容1-FinFET-1-源-通道-漏-二极管(1T1D)嵌入式DRAM MACRO在16nm FinFET中的应用
IF 2.7 Q2 Engineering Pub Date : 2023-09-08 DOI: 10.1109/LSSC.2023.3311797
E. Ray Hsieh;C. F. Huang;S. Y. Huang;M. L. Miu;S. M. Lu;Y. S. Wu;Y. H. Ye
We introduce one kind of embedded dynamic-random-access-memory (eDRAM) array (16 kilo-bits) with peripheral circuits. Each cell in an array comprises 1-control-Fin-type-field-effect-transistor [FinFET (T)] and 1-storage-npn-diode (D). The latter can be implemented by a nFinFET with the floating gate electrode. This 1T1D eDRAM technology is fully integrated with the 16-nm FinFET process and can be continually shrunk to the 3-nm technology node. The size of the unit-cell is $0.0242~mu text{m}~^{mathrm{ 2}}$ . This 1T1D eDRAM cell can be programmed by the Zener-tunneling mechanism with 0.8 V of a writing voltage in 8 ns; the reading can be accomplished in 7 ns at −0.2 V. $116~mu text{s}$ of data retention at 25°C ( $101~mu text{s}$ at 75°C); $100~mu text{W}$ of the write power; $9.125~mu text{W}$ of the read power have been recorded as well. These experimental pieces of evidence suggest that our 1T1D embedded DRAM technology could replace the conventional 1-transistor-1-capacitance (1T1C) eDRAM one with better cost-efficiency and lower power in the advanced CMOS technology to 3-nm node.
介绍了一种具有外围电路的嵌入式动态随机存取存储器(eDRAM)阵列(16千位)。阵列中的每个单元包括1-控制-场效应型晶体管[FinFET(T)]和1-存储-npn-二极管(D)。后者可以通过具有浮动栅电极的nFinFET来实现。这种1T1D eDRAM技术与16nm FinFET工艺完全集成,可以不断缩小到3nm技术节点。单元格的大小为$0.0242~mutext{m}~^{mathrm{2}}$。该1T1D eDRAM单元可以通过齐纳隧道机制在8ns内以0.8V的写入电压编程;读数可以在-0.2 V下在7 ns内完成。25°C下的数据保留成本为116美元(75°C下为101美元)$100μtext{W}$的写入功率$还记录了9.125~mutext{W}$的读取功率。这些实验证据表明,我们的1T1D嵌入式DRAM技术可以取代传统的1-晶体管-1-电容(1T1C)eDRAM技术,在3-nm节点的先进CMOS技术中具有更好的成本效率和更低的功率。
{"title":"A Logic Fully Comparable Single-Supply Capacitor-Less 1-FinFET-1-Source-Channel-Drain-Diode (1T1D) Embedded DRAM MACRO in 16-nm FinFET","authors":"E. Ray Hsieh;C. F. Huang;S. Y. Huang;M. L. Miu;S. M. Lu;Y. S. Wu;Y. H. Ye","doi":"10.1109/LSSC.2023.3311797","DOIUrl":"10.1109/LSSC.2023.3311797","url":null,"abstract":"We introduce one kind of embedded dynamic-random-access-memory (eDRAM) array (16 kilo-bits) with peripheral circuits. Each cell in an array comprises 1-control-Fin-type-field-effect-transistor [FinFET (T)] and 1-storage-npn-diode (D). The latter can be implemented by a nFinFET with the floating gate electrode. This 1T1D eDRAM technology is fully integrated with the 16-nm FinFET process and can be continually shrunk to the 3-nm technology node. The size of the unit-cell is \u0000<inline-formula> <tex-math>$0.0242~mu text{m}~^{mathrm{ 2}}$ </tex-math></inline-formula>\u0000. This 1T1D eDRAM cell can be programmed by the Zener-tunneling mechanism with 0.8 V of a writing voltage in 8 ns; the reading can be accomplished in 7 ns at −0.2 V. \u0000<inline-formula> <tex-math>$116~mu text{s}$ </tex-math></inline-formula>\u0000 of data retention at 25°C (\u0000<inline-formula> <tex-math>$101~mu text{s}$ </tex-math></inline-formula>\u0000 at 75°C); \u0000<inline-formula> <tex-math>$100~mu text{W}$ </tex-math></inline-formula>\u0000 of the write power; \u0000<inline-formula> <tex-math>$9.125~mu text{W}$ </tex-math></inline-formula>\u0000 of the read power have been recorded as well. These experimental pieces of evidence suggest that our 1T1D embedded DRAM technology could replace the conventional 1-transistor-1-capacitance (1T1C) eDRAM one with better cost-efficiency and lower power in the advanced CMOS technology to 3-nm node.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62405091","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Fully-Digital Variation-Tolerant Runtime Detector for PCB-Level Probing Attack in a 28-nm CMOS 用于28nm CMOS中PCB级探测攻击的全数字容错运行时检测器
IF 2.7 Q2 Engineering Pub Date : 2023-08-30 DOI: 10.1109/LSSC.2023.3310266
Mao Li;Yunze Yang;Weifeng He;Sanu K. Mathew;Vivek De;Mingoo Seok
This letter presents the first on-chip detector for monitoring a PCB-level probing attack in 28-nm CMOS based on a time-to-digital converter (TDC). It can detect a probing attempt caused by placing a probe with a loading capacitance as small as 2 pF, encompassing most commercial passive probes. It also does so robustly across 30°C–90°C and 0.8–0.9 V, up to the data rate of 160 Mb/s during runtime. The proposed detector is integrated with a general-purpose digital output cell. It consumes $3910 ~mu text{m} ^{mathrm{ 2}}$ and $36.8 ~mu text{W}$ at 40 Mb/s.
这封信介绍了第一个基于时间-数字转换器(TDC)的芯片上检测器,用于监测28 nm CMOS中的PCB级探测攻击。它可以检测由放置负载电容小至2pF的探针引起的探测尝试,包括大多数商业无源探针。它在30°C–90°C和0.8–0.9 V范围内也表现强劲,运行时数据速率高达160 Mb/s。所提出的检测器与通用数字输出单元集成在一起。它在40 Mb/s时消耗3910~mutext{m}^{mathrm{2}}$和36.8~muttext{W}$。
{"title":"A Fully-Digital Variation-Tolerant Runtime Detector for PCB-Level Probing Attack in a 28-nm CMOS","authors":"Mao Li;Yunze Yang;Weifeng He;Sanu K. Mathew;Vivek De;Mingoo Seok","doi":"10.1109/LSSC.2023.3310266","DOIUrl":"10.1109/LSSC.2023.3310266","url":null,"abstract":"This letter presents the first on-chip detector for monitoring a PCB-level probing attack in 28-nm CMOS based on a time-to-digital converter (TDC). It can detect a probing attempt caused by placing a probe with a loading capacitance as small as 2 pF, encompassing most commercial passive probes. It also does so robustly across 30°C–90°C and 0.8–0.9 V, up to the data rate of 160 Mb/s during runtime. The proposed detector is integrated with a general-purpose digital output cell. It consumes <inline-formula> <tex-math notation=\"LaTeX\">$3910 ~mu text{m} ^{mathrm{ 2}}$ </tex-math></inline-formula> and <inline-formula> <tex-math notation=\"LaTeX\">$36.8 ~mu text{W}$ </tex-math></inline-formula> at 40 Mb/s.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62405048","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Cryo-CMOS Homodyne-Demodulation Qubit Readout IC With Continuous-Time Analog Integration for SNR Improvement 一种用于提高信噪比的连续时间模拟积分Cryo-CMOS零差解调Qubit读出IC
IF 2.7 Q2 Engineering Pub Date : 2023-08-22 DOI: 10.1109/LSSC.2023.3307456
Donggyu Minn;Kiseo Kang;Jaeho Lee;Jae-Yoon Sim
This letter presents a homodyne-demodulation readout IC with continuous-time direct analog integration for superconducting qubits. By integration at the analog front end, the effective signal-to-noise ratio is efficiently increased, eliminating the need for a high-performance analog-to-digital converter and costly baseband processing for data recovery. The proposed IC, fabricated in 40-nm CMOS, is verified at 4 K in a dilution refrigerator. The readout fidelity, estimated under real-world conditions, is reported to be 99% within 300 ns.
本文介绍了一种用于超导量子位的具有连续时间直接模拟积分的零差解调读出IC。通过在模拟前端进行集成,有效地提高了信噪比,消除了对高性能模数转换器和用于数据恢复的昂贵基带处理的需求。所提出的IC是用40nm CMOS制造的,在稀释冰箱中在4K下进行了验证。据报道,在真实世界条件下估计的读出保真度在300ns内为99%。
{"title":"A Cryo-CMOS Homodyne-Demodulation Qubit Readout IC With Continuous-Time Analog Integration for SNR Improvement","authors":"Donggyu Minn;Kiseo Kang;Jaeho Lee;Jae-Yoon Sim","doi":"10.1109/LSSC.2023.3307456","DOIUrl":"10.1109/LSSC.2023.3307456","url":null,"abstract":"This letter presents a homodyne-demodulation readout IC with continuous-time direct analog integration for superconducting qubits. By integration at the analog front end, the effective signal-to-noise ratio is efficiently increased, eliminating the need for a high-performance analog-to-digital converter and costly baseband processing for data recovery. The proposed IC, fabricated in 40-nm CMOS, is verified at 4 K in a dilution refrigerator. The readout fidelity, estimated under real-world conditions, is reported to be 99% within 300 ns.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62405041","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Signal-Strength Detector Based on CMOS-Inverter Supply Current 基于CMOS逆变电源电流的信号强度检测器
IF 2.7 Q2 Engineering Pub Date : 2023-08-22 DOI: 10.1109/LSSC.2023.3307361
Pranav Kumar;Nagendra Krishnapura
Pseudo-logarithmic signal-strength indicators are usually realized using a chain of amplifiers with amplitude detectors at the output of each stage and summing the output of the amplitude detectors. It is shown that the same can be achieved by measuring the total supply current of a pseudo-differential self-biased CMOS inverter chain. CMOS inverters act as amplifiers, and their supply current indicates the input amplitude. Amplification and amplitude detection are combined in the same block. The supply current is measured by mirroring the current in the pass transistor of the regulator that is used to power the inverter chain. The noise floor is set by the initial stages of the chain. A 65-nm prototype has a 70-dB dynamic range with ±1-dB error. It occupies 0.08 $text {mm}^{{2}}$ and consumes 1.2 mW from 1.5V. The input referred noise floor is 0.2-mV rms.
伪对数信号强度指示器通常使用在每一级的输出处具有幅度检测器的放大器链并将幅度检测器的输出求和来实现。结果表明,通过测量伪差分自偏置CMOS反相器链的总电源电流可以实现这一点。CMOS反相器充当放大器,其供电电流指示输入幅度。放大和幅度检测被组合在同一块中。电源电流是通过镜像用于为逆变器链供电的调节器的传输晶体管中的电流来测量的。噪声基底由链的初始阶段设置。65nm的原型具有70dB的动态范围,误差为±1-dB。它占用0.08$text{mm}^{2}}$,从1.5V消耗1.2 mW。输入参考噪声基底为0.2 mV rms。
{"title":"Signal-Strength Detector Based on CMOS-Inverter Supply Current","authors":"Pranav Kumar;Nagendra Krishnapura","doi":"10.1109/LSSC.2023.3307361","DOIUrl":"10.1109/LSSC.2023.3307361","url":null,"abstract":"Pseudo-logarithmic signal-strength indicators are usually realized using a chain of amplifiers with amplitude detectors at the output of each stage and summing the output of the amplitude detectors. It is shown that the same can be achieved by measuring the total supply current of a pseudo-differential self-biased CMOS inverter chain. CMOS inverters act as amplifiers, and their supply current indicates the input amplitude. Amplification and amplitude detection are combined in the same block. The supply current is measured by mirroring the current in the pass transistor of the regulator that is used to power the inverter chain. The noise floor is set by the initial stages of the chain. A 65-nm prototype has a 70-dB dynamic range with ±1-dB error. It occupies 0.08\u0000<inline-formula> <tex-math>$text {mm}^{{2}}$ </tex-math></inline-formula>\u0000 and consumes 1.2 mW from 1.5V. The input referred noise floor is 0.2-mV rms.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62404989","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 19–34-GHz Bridged-T Phase Shifter With High-Pass Phase Compensation Achieving 3.9° RMS Phase Error for 5G NR 具有高通相位补偿的19–34 GHz桥式T移相器实现5G NR 3.9°RMS相位误差
IF 2.7 Q2 Engineering Pub Date : 2023-08-16 DOI: 10.1109/LSSC.2023.3305832
Yijing Liao;Minzhe Tang;Jian Pang;Atsushi Shirane;Kenichi Okada
This letter presents a 4-bit switch-type phase shifter (STPS) with low phase error using standard 65-nm bulk CMOS technology. To reduce the phase error of conventional STPS, the proposed design employs a phase compensation network, utilizing opposite phase-shift characteristics of different filters. The measured maximum root-mean-square (RMS) phase and gain errors are 3.9° and 2.2 dB from 19 to 34 GHz, respectively. The measured RMS group delay error is smaller than 3.8 ps within the operating frequencies. The core area of the fabricated phase shifter is ${0.13 mathrm {mm}}^{2}$ excluding pads. The proposed phase shifter performs a low phase error with compact chip size compared with other reported STPSs within a similar bandwidth.
这封信介绍了一种使用标准65nm体CMOS技术的具有低相位误差的4位开关型移相器(STPS)。为了减少传统STPS的相位误差,所提出的设计采用了相位补偿网络,利用了不同滤波器的相反相移特性。从19到34 GHz,测量的最大均方根(RMS)相位和增益误差分别为3.9°和2.2 dB。测量的RMS组延迟误差在工作频率内小于3.8ps。制造的移相器的核心面积为${0.13mathrm{mm}}^{2}$,不包括焊盘。与类似带宽内的其他报道的STPS相比,所提出的移相器以紧凑的芯片尺寸执行低相位误差。
{"title":"A 19–34-GHz Bridged-T Phase Shifter With High-Pass Phase Compensation Achieving 3.9° RMS Phase Error for 5G NR","authors":"Yijing Liao;Minzhe Tang;Jian Pang;Atsushi Shirane;Kenichi Okada","doi":"10.1109/LSSC.2023.3305832","DOIUrl":"10.1109/LSSC.2023.3305832","url":null,"abstract":"This letter presents a 4-bit switch-type phase shifter (STPS) with low phase error using standard 65-nm bulk CMOS technology. To reduce the phase error of conventional STPS, the proposed design employs a phase compensation network, utilizing opposite phase-shift characteristics of different filters. The measured maximum root-mean-square (RMS) phase and gain errors are 3.9° and 2.2 dB from 19 to 34 GHz, respectively. The measured RMS group delay error is smaller than 3.8 ps within the operating frequencies. The core area of the fabricated phase shifter is \u0000<inline-formula> <tex-math>${0.13 mathrm {mm}}^{2}$ </tex-math></inline-formula>\u0000 excluding pads. The proposed phase shifter performs a low phase error with compact chip size compared with other reported STPSs within a similar bandwidth.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62404800","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
LTE-Powered BLE-to-WiFi Backscattering Chip Toward Single-Device Interrogation RFID-Like Systems LTE供电的BLE到WiFi反向散射芯片实现单设备询问类RFID系统
IF 2.7 Q2 Engineering Pub Date : 2023-08-11 DOI: 10.1109/LSSC.2023.3304305
Shih-Kai Kuo;Manideep Dunna;Hongyu Lu;Akshit Agarwal;Dinesh Bharadia;Patrick P. Mercier
This letter presents a backscattering chip that is powered via LTE signals and reflects an incident reverse-whitened Bluetooth low energy (BLE) tone-like advertisement packet into a reradiated single-side-band (SSB) 802.11 b WiFi packet at a configurable WiFi channel location, all toward enabling an RFID-like single-device interrogation system. The chip includes an RF energy harvester, a WiFi wake-up receiver, an intermediate frequency (IF) ring oscillator whose frequency is calibrated with a successive-approximation-register (SAR) frequency-lock-loop (FLL), and a QPSK SSB backscatter modulator. Implemented in 65-nm CMOS, the chip consumes 4.5- $mu text{W}$ power in wake-up mode and 11 to $45~mu text{W}$ power in backscatter mode, with on-chip ring oscillator frequencies ranging from 33 to 253 MHz in order to support eight different BLE-to-WiFi backscatter schemes. Wireless measurement showed that the chip can be charged to 1 V before backscattering within 1 s on a 1- $mu text{F}$ off-chip storage capacitor up to a 50-cm distance.
这封信介绍了一种反向散射芯片,该芯片通过LTE信号供电,并将入射的反向白化蓝牙低能量(BLE)类音调广告包反射到可配置WiFi信道位置的再辐射单边带(SSB)802.11b WiFi包中,所有这些都是为了实现类RFID单设备询问系统。该芯片包括一个射频能量采集器、一个WiFi唤醒接收器、一个中频(IF)环形振荡器和一个QPSK SSB反向散射调制器,中频环形振荡器的频率通过逐次逼近寄存器(SAR)频率锁定环(FLL)进行校准。在65 nm CMOS中实现,该芯片在唤醒模式下消耗4.5-$mutext{W}$功率,在反向散射模式下消耗11~45~mutext{W}$功率,片上环形振荡器频率范围从33到253 MHz,以支持八种不同的BLE到WiFi反向散射方案。无线测量表明,芯片可以充电到1V,然后在长达50厘米的芯片外存储电容器上在1秒内反向散射。
{"title":"LTE-Powered BLE-to-WiFi Backscattering Chip Toward Single-Device Interrogation RFID-Like Systems","authors":"Shih-Kai Kuo;Manideep Dunna;Hongyu Lu;Akshit Agarwal;Dinesh Bharadia;Patrick P. Mercier","doi":"10.1109/LSSC.2023.3304305","DOIUrl":"10.1109/LSSC.2023.3304305","url":null,"abstract":"This letter presents a backscattering chip that is powered via LTE signals and reflects an incident reverse-whitened Bluetooth low energy (BLE) tone-like advertisement packet into a reradiated single-side-band (SSB) 802.11 b WiFi packet at a configurable WiFi channel location, all toward enabling an RFID-like single-device interrogation system. The chip includes an RF energy harvester, a WiFi wake-up receiver, an intermediate frequency (IF) ring oscillator whose frequency is calibrated with a successive-approximation-register (SAR) frequency-lock-loop (FLL), and a QPSK SSB backscatter modulator. Implemented in 65-nm CMOS, the chip consumes 4.5-\u0000<inline-formula> <tex-math>$mu text{W}$ </tex-math></inline-formula>\u0000 power in wake-up mode and 11 to \u0000<inline-formula> <tex-math>$45~mu text{W}$ </tex-math></inline-formula>\u0000 power in backscatter mode, with on-chip ring oscillator frequencies ranging from 33 to 253 MHz in order to support eight different BLE-to-WiFi backscatter schemes. Wireless measurement showed that the chip can be charged to 1 V before backscattering within 1 s on a 1-\u0000<inline-formula> <tex-math>$mu text{F}$ </tex-math></inline-formula>\u0000 off-chip storage capacitor up to a 50-cm distance.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62404782","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Reconfigurable CMOS Ising Machine With Three-Body Spin Interactions for Solving Boolean Satisfiability With Direct Mapping 用直接映射求解布尔可满足性的具有三体自旋相互作用的可重构CMOS Ising机
IF 2.7 Q2 Engineering Pub Date : 2023-08-08 DOI: 10.1109/LSSC.2023.3303332
Yuqi Su;Tony Tae-Hyoung Kim;Bongjin Kim
Ising machines have recently emerged as efficient computers for nondeterministic polynomial-time hard (NP-hard) combinatorial optimization problems (COPs). While most prior works have built their Ising machines with spins arranged in a graph with only two-body interactions, many real-world COPs, including a popular Boolean satisfiability (SAT) problem, often involve many-body spin interactions. This letter presents a novel Ising machine that can directly map and solve 3-SAT problems (i.e., SAT problems with at most three literals per clause). The proposed Ising machine eliminates the hardware overhead due to the ancillary spins required for approximate mapping used for the prior Ising machines with two-body interactions only. For evaluation, a prototype chip is fabricated using 65 nm and solved 3-SAT problems and their variants (a weighted max 3-SAT). The 65-nm chip occupies 0.345 mm 2 for 128–1024 embedded spins with 8-bit interaction coefficients and consumes $3.73~mu text{W}$ per spin at 1.2 V and 64 MHz.
伊辛机最近成为解决不确定多项式时间困难(NP-困难)组合优化问题(COP)的有效计算机。虽然大多数先前的工作都构建了Ising机器,其自旋排列在只有两个身体相互作用的图中,但许多现实世界的COP,包括一个流行的布尔可满足性(SAT)问题,通常涉及许多身体-自旋相互作用。这封信提出了一种新颖的Ising机器,它可以直接映射和解决3-SAT问题(即每个子句最多有三个文字的SAT问题)。所提出的Ising机器消除了由于用于仅具有两个身体相互作用的现有Ising机器的近似映射所需的辅助自旋而引起的硬件开销。为了进行评估,使用65nm制造了原型芯片,并解决了3-SAT问题及其变体(加权最大3-SAT)。对于128–1024个具有8位相互作用系数的嵌入自旋,65 nm芯片占用0.345 mm 2,并且在1.2 V和64 MHz下每自旋消耗3.73美元。
{"title":"A Reconfigurable CMOS Ising Machine With Three-Body Spin Interactions for Solving Boolean Satisfiability With Direct Mapping","authors":"Yuqi Su;Tony Tae-Hyoung Kim;Bongjin Kim","doi":"10.1109/LSSC.2023.3303332","DOIUrl":"10.1109/LSSC.2023.3303332","url":null,"abstract":"Ising machines have recently emerged as efficient computers for nondeterministic polynomial-time hard (NP-hard) combinatorial optimization problems (COPs). While most prior works have built their Ising machines with spins arranged in a graph with only two-body interactions, many real-world COPs, including a popular Boolean satisfiability (SAT) problem, often involve many-body spin interactions. This letter presents a novel Ising machine that can directly map and solve 3-SAT problems (i.e., SAT problems with at most three literals per clause). The proposed Ising machine eliminates the hardware overhead due to the ancillary spins required for approximate mapping used for the prior Ising machines with two-body interactions only. For evaluation, a prototype chip is fabricated using 65 nm and solved 3-SAT problems and their variants (a weighted max 3-SAT). The 65-nm chip occupies 0.345 mm 2 for 128–1024 embedded spins with 8-bit interaction coefficients and consumes \u0000<inline-formula> <tex-math>$3.73~mu text{W}$ </tex-math></inline-formula>\u0000 per spin at 1.2 V and 64 MHz.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-08-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"62404744","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
IEEE Solid-State Circuits Letters
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1