首页 > 最新文献

IEEE Solid-State Circuits Letters最新文献

英文 中文
SiGe BiCMOS D-Band Heterodyne Power Mixer With Back-Off Efficiency Enhanced by Current Clamping 通过电流钳位提高退激效率的 SiGe BiCMOS D 波段异频功率混频器
IF 2.7 Q2 Engineering Pub Date : 2023-11-15 DOI: 10.1109/LSSC.2023.3332766
Andrea Bilato;Ibrahim Petricli;Andrea Mazzanti
A D-band power upconverter in a 55-nm SiGe BiCMOS is presented. The low-output resistance of a switching quad is identified as a limiting factor to mixer power generation in D-band, and common-base transistors are stacked for output power enhancement. Moreover, the current clamping mechanism is exploited to scale the average supply current with output power, improving the efficiency in back-off. Experimental results demonstrate $ {P_{mathrm{ sat}}},,{=}$ 6.3 dBm and ${oP_{mathrm{ 1dB}}},,{=}$ 4.5 dBm at 140 GHz, with efficiency of 3.05% and 2.47%, respectively. The power consumption, from a 2-V supply, rises from 70 mW at the quiescent point to 140 mW at $ {P_{mathrm{ sat}}}$ . The measured output power and efficiency compare favorably against previous works.
提出了一种55纳米SiGe BiCMOS的d波段功率上转换器。将开关四极体的低输出电阻确定为d波段混频器功率产生的限制因素,并将共基晶体管堆叠以增强输出功率。此外,利用电流箝位机构来缩放电源的平均电流与输出功率,提高了回退效率。实验结果表明,${P_{mathrm{sat}}},,{=}$ 6.3 dBm和${oP_{mathrm{1dB}}},,{=}$ 4.5 dBm在140 GHz下的效率分别为3.05%和2.47%。2v电源的功耗从静态点的70mw上升到$ {P_{ mathm {sat}} $的140mw。测量的输出功率和效率与以前的工作相比是良好的。
{"title":"SiGe BiCMOS D-Band Heterodyne Power Mixer With Back-Off Efficiency Enhanced by Current Clamping","authors":"Andrea Bilato;Ibrahim Petricli;Andrea Mazzanti","doi":"10.1109/LSSC.2023.3332766","DOIUrl":"10.1109/LSSC.2023.3332766","url":null,"abstract":"A D-band power upconverter in a 55-nm SiGe BiCMOS is presented. The low-output resistance of a switching quad is identified as a limiting factor to mixer power generation in D-band, and common-base transistors are stacked for output power enhancement. Moreover, the current clamping mechanism is exploited to scale the average supply current with output power, improving the efficiency in back-off. Experimental results demonstrate \u0000<inline-formula> <tex-math>$ {P_{mathrm{ sat}}},,{=}$ </tex-math></inline-formula>\u00006.3 dBm and \u0000<inline-formula> <tex-math>${oP_{mathrm{ 1dB}}},,{=}$ </tex-math></inline-formula>\u00004.5 dBm at 140 GHz, with efficiency of 3.05% and 2.47%, respectively. The power consumption, from a 2-V supply, rises from 70 mW at the quiescent point to 140 mW at \u0000<inline-formula> <tex-math>$ {P_{mathrm{ sat}}}$ </tex-math></inline-formula>\u0000. The measured output power and efficiency compare favorably against previous works.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-11-15","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135759394","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
SRAM In-Memory Computing Macro With Delta-Sigma Modulator-Based Variable-Resolution Activation 基于三角积分调制器可变分辨率激活的 SRAM 内存计算宏程序
IF 2.7 Q2 Engineering Pub Date : 2023-10-24 DOI: 10.1109/LSSC.2023.3327213
Vasundhara Damodaran;Ziyu Liu;Jian Meng;Jae-Sun Seo;Arindam Sanyal
This letter presents an SRAM-based compute-in-memory (CIM) macro that uses 1-bit $Delta Sigma $ modulators to convert input and output activations to binary pulse waveform. The SRAM macro uses switched-capacitors for vector matrix multiplications and together with binary input activation improves linearity compared to current-domain SRAM CIM macros and allows reconfigurable activation resolution. The proposed macro is fabricated in 65 nm and benchmarked on MNIST and CIFAR-10 datasets with accuracies of 98.67% and 89.85%, respectively, with energy-efficiency in the range of 15.4–138.6 TOPS/W.
这封信介绍了一种基于 SRAM 的内存计算 (CIM) 宏,它使用 1 位 $Delta Sigma $ 调制器将输入和输出激活转换为二进制脉冲波形。与电流域 SRAM CIM 宏相比,SRAM 宏使用开关电容器进行矢量矩阵乘法,加上二进制输入激活,提高了线性度,并允许重新配置激活分辨率。所提出的宏采用 65 纳米制造,并在 MNIST 和 CIFAR-10 数据集上进行了基准测试,准确率分别为 98.67% 和 89.85%,能效范围为 15.4-138.6 TOPS/W。
{"title":"SRAM In-Memory Computing Macro With Delta-Sigma Modulator-Based Variable-Resolution Activation","authors":"Vasundhara Damodaran;Ziyu Liu;Jian Meng;Jae-Sun Seo;Arindam Sanyal","doi":"10.1109/LSSC.2023.3327213","DOIUrl":"10.1109/LSSC.2023.3327213","url":null,"abstract":"This letter presents an SRAM-based compute-in-memory (CIM) macro that uses 1-bit \u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000 modulators to convert input and output activations to binary pulse waveform. The SRAM macro uses switched-capacitors for vector matrix multiplications and together with binary input activation improves linearity compared to current-domain SRAM CIM macros and allows reconfigurable activation resolution. The proposed macro is fabricated in 65 nm and benchmarked on MNIST and CIFAR-10 datasets with accuracies of 98.67% and 89.85%, respectively, with energy-efficiency in the range of 15.4–138.6 TOPS/W.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-10-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135156836","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An 11-Level Adiabatic Ultrasonic Pulser Achieving 87.2% Dynamic Power Reduction 一种11级绝热超声脉冲发生器,动态功率降低87.2%
IF 2.7 Q2 Engineering Pub Date : 2023-10-19 DOI: 10.1109/LSSC.2023.3326087
Sandeep Reddy Kukunuru;Loai G. Salem
This letter introduces a pulser topology that allows switched-capacitor adiabatic drivers (SCADs) to exploit an H-bridge for doubling the output voltage swing across an ultrasonic transducer (UT) from $V_{DD}$ to $2V_{DD}$ . The topology enables a fourfold increase in the output power of an $N$ -step SCAD while reducing the switching loss of the internal capacitance of a UT by $sim 10times $ . A periodically switched flying ladder of capacitors is employed to balance the voltages across the $N -1$ charge-recycling capacitors in an $N$ -step SCAD at integer multiples of $V_{DD}/N$ against the imbalance produced by an H-bridge or a UT of high power factor. In this way, an H-bridge can be combined with an SCAD to flip the polarity of the voltage applied across a UT every half cycle, effectively lowering the number of required charge-recycling capacitors and intermediate switches for a given number of steps by 2. Measurements of a 0.18- $mu text{m}$ CMOS prototype demonstrate a switching loss reduction of up to 87.2% and a peak ultrasonic driving efficiency of 92.9%.
本文介绍了一种脉冲发生器拓扑结构,该拓扑结构允许开关电容绝热驱动器(scad)利用h桥将超声波换能器(UT)从$V_{DD}$到$2V_{DD}$的输出电压摆幅加倍。该拓扑结构使$N$ -step SCAD的输出功率增加四倍,同时将UT内部电容的开关损耗降低$sim 10times $。在$N$级SCAD中,采用周期性切换的电容器飞梯来平衡$N -1$电荷回收电容器之间的电压,其电压为$V_{DD}/N$的整数倍,以对抗h桥或高功率因数UT产生的不平衡。通过这种方式,h桥可以与SCAD相结合,每半个周期翻转施加在UT上的电压的极性,有效地将给定数量的步骤所需的电荷回收电容器和中间开关的数量减少2。测量0.18- $mu text{m}$ CMOS原型表明开关损耗降低高达87.2% and a peak ultrasonic driving efficiency of 92.9%.
{"title":"An 11-Level Adiabatic Ultrasonic Pulser Achieving 87.2% Dynamic Power Reduction","authors":"Sandeep Reddy Kukunuru;Loai G. Salem","doi":"10.1109/LSSC.2023.3326087","DOIUrl":"10.1109/LSSC.2023.3326087","url":null,"abstract":"This letter introduces a pulser topology that allows switched-capacitor adiabatic drivers (SCADs) to exploit an H-bridge for doubling the output voltage swing across an ultrasonic transducer (UT) from \u0000<inline-formula> <tex-math>$V_{DD}$ </tex-math></inline-formula>\u0000 to \u0000<inline-formula> <tex-math>$2V_{DD}$ </tex-math></inline-formula>\u0000. The topology enables a fourfold increase in the output power of an \u0000<inline-formula> <tex-math>$N$ </tex-math></inline-formula>\u0000-step SCAD while reducing the switching loss of the internal capacitance of a UT by \u0000<inline-formula> <tex-math>$sim 10times $ </tex-math></inline-formula>\u0000. A periodically switched flying ladder of capacitors is employed to balance the voltages across the \u0000<inline-formula> <tex-math>$N -1$ </tex-math></inline-formula>\u0000 charge-recycling capacitors in an \u0000<inline-formula> <tex-math>$N$ </tex-math></inline-formula>\u0000-step SCAD at integer multiples of \u0000<inline-formula> <tex-math>$V_{DD}/N$ </tex-math></inline-formula>\u0000 against the imbalance produced by an H-bridge or a UT of high power factor. In this way, an H-bridge can be combined with an SCAD to flip the polarity of the voltage applied across a UT every half cycle, effectively lowering the number of required charge-recycling capacitors and intermediate switches for a given number of steps by 2. Measurements of a 0.18-\u0000<inline-formula> <tex-math>$mu text{m}$ </tex-math></inline-formula>\u0000 CMOS prototype demonstrate a switching loss reduction of up to 87.2% and a peak ultrasonic driving efficiency of 92.9%.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-10-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135058583","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A New Scheme for Low-Power, Low-Latency, and Interferer-Tolerant Wake-Up Receivers 一种低功耗、低延迟、抗干扰唤醒接收器的新方案
IF 2.7 Q2 Engineering Pub Date : 2023-10-17 DOI: 10.1109/LSSC.2023.3325186
Hamid Jafari Sharemi;Mehrdad Sharif Bakhtiar
This letter presents a new approach to low-power, low-latency, and frequency-selective wake-up receivers. A novel architecture is introduced to achieve frequency domain selectivity, including analog techniques, that enable data detection without the need for power-hungry digital processing. A two-mode duty cycling is also utilized, which helps reduce the power consumption of the receiver significantly with negligible latency. A prototype of the proposed receiver is fabricated and verified in a 180-nm CMOS process. The fabricated chipset achieves a sensitivity of −84.9 dBm with 4.32-ms wake-up latency and drains an average current of $12.2 ~mu text{A}$ . Interference tests show an outstanding signal-to-interference ratio (SIR) of −42/−49/−51 dB at 0.11%/0.22%/0.33% frequency offset from the carrier, confirming the interference immunity of the proposed design.
这封信提出了一种低功耗,低延迟和频率选择性唤醒接收器的新方法。引入了一种新的架构来实现频域选择性,包括模拟技术,使数据检测无需耗电的数字处理。还利用了双模占空比,这有助于显着降低接收器的功耗,而延迟可以忽略不计。在180纳米CMOS工艺中制作并验证了该接收器的原型。该芯片的灵敏度为- 84.9 dBm,唤醒延迟为4.32 ms,平均电流为12.2 ~mu text{a}$。干扰测试表明,在与载波频率偏移0.11%/0.22%/0.33%时,信号干扰比(SIR)为- 42/ - 49/ - 51 dB,证实了所提出设计的抗干扰性。
{"title":"A New Scheme for Low-Power, Low-Latency, and Interferer-Tolerant Wake-Up Receivers","authors":"Hamid Jafari Sharemi;Mehrdad Sharif Bakhtiar","doi":"10.1109/LSSC.2023.3325186","DOIUrl":"10.1109/LSSC.2023.3325186","url":null,"abstract":"This letter presents a new approach to low-power, low-latency, and frequency-selective wake-up receivers. A novel architecture is introduced to achieve frequency domain selectivity, including analog techniques, that enable data detection without the need for power-hungry digital processing. A two-mode duty cycling is also utilized, which helps reduce the power consumption of the receiver significantly with negligible latency. A prototype of the proposed receiver is fabricated and verified in a 180-nm CMOS process. The fabricated chipset achieves a sensitivity of −84.9 dBm with 4.32-ms wake-up latency and drains an average current of \u0000<inline-formula> <tex-math>$12.2 ~mu text{A}$ </tex-math></inline-formula>\u0000. Interference tests show an outstanding signal-to-interference ratio (SIR) of −42/−49/−51 dB at 0.11%/0.22%/0.33% frequency offset from the carrier, confirming the interference immunity of the proposed design.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-10-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"135007444","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 0.13-μm BiCMOS, 130-MHz Bandwidth Interface Circuit With Noise Canceling for HDD Fly-Height Resistive Sensors 用于HDD飞高电阻传感器的0.13 μm BiCMOS、130 mhz带宽消噪接口电路
IF 2.7 Q2 Engineering Pub Date : 2023-10-13 DOI: 10.1109/LSSC.2023.3324589
M. M. Abdevand;D. Livornesi;A. E. Vergani;F. Piscitelli;E. Mammei;E. Bonizzoni;P. Malcovati;P. Pulici
A fully analog interface circuit based on closed-loop biasing and noise canceling techniques, fabricated in a 130-nm BiCMOS technology, is presented in this letter. The proposed interface circuit is able to precisely bias the sensor and read out the resulting signal in two frequency ranges (low-frequency (LF) range from dc to 375 kHz and high-frequency range from 1 kHz to 130 MHz). In the LF range, thanks to a dedicated noise-canceling technique, the achieved integrated input-referred noise is reduced from 7.3 $mu text{V}_{mathrm{ rms}}$ to 2.8 $mu text{V}_{mathrm{ rms}}$ in the 100-Hz to 1-kHz band and from 14.2 $mu text{V}_{mathrm{ rms}}$ to 4.6 $mu text{V}_{mathrm{ rms}}$ in the 1–100-kHz band, respectively. The fabricated chip features an active area of 1.11 mm2 and consumes 172 mW of power, including the 36 mW required to bias the sensor.
本文介绍了一种基于闭环偏置和噪声消除技术的全模拟接口电路,该电路采用130纳米BiCMOS技术制造。所提出的接口电路能够精确地偏置传感器并在两个频率范围(低频(LF)范围从dc到375 kHz和高频范围从1 kHz到130 MHz)中读出结果信号。在低频范围内,由于专用的降噪技术,所实现的综合输入参考噪声在100 hz至1 khz频段分别从7.3 $mu text{V}_{mathrm{rms}}$降至2.8 $mu text{V}_{mathrm{rms}}$,在1- 100 khz频段分别从14.2 $mu text{V}_{mathrm{rms}}$降至4.6 $mu text{V}_{mathrm{rms}}$。该芯片的有效面积为1.11 mm2,功耗为172 mW,其中包括传感器偏置所需的36 mW。
{"title":"A 0.13-μm BiCMOS, 130-MHz Bandwidth Interface Circuit With Noise Canceling for HDD Fly-Height Resistive Sensors","authors":"M. M. Abdevand;D. Livornesi;A. E. Vergani;F. Piscitelli;E. Mammei;E. Bonizzoni;P. Malcovati;P. Pulici","doi":"10.1109/LSSC.2023.3324589","DOIUrl":"10.1109/LSSC.2023.3324589","url":null,"abstract":"A fully analog interface circuit based on closed-loop biasing and noise canceling techniques, fabricated in a 130-nm BiCMOS technology, is presented in this letter. The proposed interface circuit is able to precisely bias the sensor and read out the resulting signal in two frequency ranges (low-frequency (LF) range from dc to 375 kHz and high-frequency range from 1 kHz to 130 MHz). In the LF range, thanks to a dedicated noise-canceling technique, the achieved integrated input-referred noise is reduced from 7.3 \u0000<inline-formula> <tex-math>$mu text{V}_{mathrm{ rms}}$ </tex-math></inline-formula>\u0000 to 2.8 \u0000<inline-formula> <tex-math>$mu text{V}_{mathrm{ rms}}$ </tex-math></inline-formula>\u0000 in the 100-Hz to 1-kHz band and from 14.2 \u0000<inline-formula> <tex-math>$mu text{V}_{mathrm{ rms}}$ </tex-math></inline-formula>\u0000 to 4.6 \u0000<inline-formula> <tex-math>$mu text{V}_{mathrm{ rms}}$ </tex-math></inline-formula>\u0000 in the 1–100-kHz band, respectively. The fabricated chip features an active area of 1.11 mm2 and consumes 172 mW of power, including the 36 mW required to bias the sensor.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"136302120","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analog Multiplexer for Performance Enhancement of Digital-to-Analog Converters and Experimental 2-to-1 Time Interleaving in 28-nm FD-SOI CMOS 28纳米FD-SOI CMOS中用于增强数模转换器性能和实验性2对1时间交错的模拟多路复用器
IF 2.7 Q2 Engineering Pub Date : 2023-10-13 DOI: 10.1109/LSSC.2023.3323857
Daniel Widmann;Tobias Tannert;Markus Grözing;Manfred Berroth
To enhance the performance of digital-to-analog converters (DACs), time interleaving by an analog multiplexer (AMUX) provides a powerful concept. Next to an increased sampling rate, potential signal quality improvement as well as a sin( ${x}$ )/ ${x}$ roll-off shift due to the nonlinear switching operation enabling a true bandwidth extension can be achieved. In this letter, an integrated AMUX in a 28-nm CMOS technology is presented. The fundamental roll-off shift is deduced from a general mathematical model. In measurements, the roll-off shift as well as improvements of the edge jitter of pulse-amplitude modulated (PAM) signals due to the AMUX are demonstrated at a sampling rate of 100GS/s. Compared to single-DAC operation at 50GS/s, the total edge jitter of a PAM-2 signal can be improved from a standard deviation of about 1.27ps to about 0.56ps at 100GS/s with AMUX operation in the given system. Finally, switching operation of the AMUX at 126GS/s is shown demonstrating the potential of the concept.
为了提高数模转换器(dac)的性能,模拟多路复用器(AMUX)的时间交错提供了一个强大的概念。除了提高采样率之外,还可以实现潜在的信号质量改善,以及由于非线性开关操作导致的sin(${x}$)/ ${x}$滚降移位,从而实现真正的带宽扩展。在这封信中,一个集成的AMUX在28纳米CMOS技术提出。基本滚转位移是从一般数学模型推导出来的。在测量中,在采样率为100GS/s的情况下,AMUX演示了滚动漂移以及脉冲幅度调制(PAM)信号边缘抖动的改善。在给定系统中,与50GS/s的单dac操作相比,在AMUX操作下,PAM-2信号的总边缘抖动可以从约1.27ps的标准差提高到约0.56ps的100GS/s。最后,展示了AMUX在126GS/s下的开关操作,展示了该概念的潜力。
{"title":"Analog Multiplexer for Performance Enhancement of Digital-to-Analog Converters and Experimental 2-to-1 Time Interleaving in 28-nm FD-SOI CMOS","authors":"Daniel Widmann;Tobias Tannert;Markus Grözing;Manfred Berroth","doi":"10.1109/LSSC.2023.3323857","DOIUrl":"10.1109/LSSC.2023.3323857","url":null,"abstract":"To enhance the performance of digital-to-analog converters (DACs), time interleaving by an analog multiplexer (AMUX) provides a powerful concept. Next to an increased sampling rate, potential signal quality improvement as well as a sin(\u0000<inline-formula> <tex-math>${x}$ </tex-math></inline-formula>\u0000)/\u0000<inline-formula> <tex-math>${x}$ </tex-math></inline-formula>\u0000 roll-off shift due to the nonlinear switching operation enabling a true bandwidth extension can be achieved. In this letter, an integrated AMUX in a 28-nm CMOS technology is presented. The fundamental roll-off shift is deduced from a general mathematical model. In measurements, the roll-off shift as well as improvements of the edge jitter of pulse-amplitude modulated (PAM) signals due to the AMUX are demonstrated at a sampling rate of 100GS/s. Compared to single-DAC operation at 50GS/s, the total edge jitter of a PAM-2 signal can be improved from a standard deviation of about 1.27ps to about 0.56ps at 100GS/s with AMUX operation in the given system. Finally, switching operation of the AMUX at 126GS/s is shown demonstrating the potential of the concept.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"136304261","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 15.5-ENOB 335 mVPP-Linear-Input-Range 4.7-GΩ-Input-Impedance CT-ΔΣM Analog Front-End With Embedded Low-Frequency Chopping 15.5-ENOB 335 mVPP线性输入范围4.7-GΩ-输入阻抗CT-Δ∑M模拟前端,带嵌入式低频斩波
IF 2.7 Q2 Engineering Pub Date : 2023-09-25 DOI: 10.1109/LSSC.2023.3318815
Yijie Li;Weiqi Zhi;Yuying Li;Jianhong Zhou;Zhiliang Hong;Jiawei Xu
This article presents a second-order continuous-time delta-sigma (CT- $Delta Sigma $ )-based analog front-end (AFE) for biopotential sensor interfaces. High linearity is achieved by using a current balanced $G_{m,1}$ input stage with gain-boosting and cascode techniques. Low-frequency chopping embedded in gain-boosting OTAs breaks the limitation of chopping frequency in conventional CT- $Delta Sigma $ ADCs and mitigates flicker noise without reducing the input impedance. In the second stage, the closed-loop $G_{m,2}$ -OTA-C proportional integrator (PI) relaxes the linearity requirements of the OTA and eliminates the additional active adder. Fabricated in a standard 0.18- $mu text{m}$ CMOS technology, this direct-digitization AFE achieves 94.9-dB peak SNDR, $335 rm mV_{pp}$ linear input range, and 4.7- $text{G}Omega $ input impedance at 50 Hz with $64times $ reduction in the chopping frequency.
本文提出了一种用于生物电位传感器接口的基于二阶连续时间Δ-∑(CT-$deltasigma$)的模拟前端(AFE)。通过使用具有增益提升和级联技术的电流平衡$G_{m,1}$输入级来实现高线性度。嵌入增益提升OTA中的低频斩波打破了传统CT-$DeltaSigma$ADC中斩波频率的限制,并在不降低输入阻抗的情况下减轻了闪烁噪声。在第二阶段中,闭环$G_{m,2}$-OTA-C比例积分器(PI)放松了OTA的线性要求,并消除了额外的有源加法器。该直接数字化AFE采用标准的0.18-$mutext{m}$CMOS技术制造,在50Hz下实现94.9dB峰值SNDR、$335rm mV_{pp}$线性输入范围和4.7-$text{G}Omega$输入阻抗,斩波频率降低$64times$。
{"title":"A 15.5-ENOB 335 mVPP-Linear-Input-Range 4.7-GΩ-Input-Impedance CT-ΔΣM Analog Front-End With Embedded Low-Frequency Chopping","authors":"Yijie Li;Weiqi Zhi;Yuying Li;Jianhong Zhou;Zhiliang Hong;Jiawei Xu","doi":"10.1109/LSSC.2023.3318815","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3318815","url":null,"abstract":"This article presents a second-order continuous-time delta-sigma (CT-\u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000)-based analog front-end (AFE) for biopotential sensor interfaces. High linearity is achieved by using a current balanced \u0000<inline-formula> <tex-math>$G_{m,1}$ </tex-math></inline-formula>\u0000 input stage with gain-boosting and cascode techniques. Low-frequency chopping embedded in gain-boosting OTAs breaks the limitation of chopping frequency in conventional CT-\u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000 ADCs and mitigates flicker noise without reducing the input impedance. In the second stage, the closed-loop \u0000<inline-formula> <tex-math>$G_{m,2}$ </tex-math></inline-formula>\u0000-OTA-C proportional integrator (PI) relaxes the linearity requirements of the OTA and eliminates the additional active adder. Fabricated in a standard 0.18-\u0000<inline-formula> <tex-math>$mu text{m}$ </tex-math></inline-formula>\u0000 CMOS technology, this direct-digitization AFE achieves 94.9-dB peak SNDR, \u0000<inline-formula> <tex-math>$335 rm mV_{pp}$ </tex-math></inline-formula>\u0000 linear input range, and 4.7-\u0000<inline-formula> <tex-math>$text{G}Omega $ </tex-math></inline-formula>\u0000 input impedance at 50 Hz with \u0000<inline-formula> <tex-math>$64times $ </tex-math></inline-formula>\u0000 reduction in the chopping frequency.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68079040","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Monolithic 26 A/mm2 Continuously Scalable Conversion Ratio Switched-Capacitor Converter With Phase-Merging Turbo and Communication-Less Ganging 一种26A/mm2连续可扩展的Turbo并相无通信Ganging单片转换比开关电容变换器
IF 2.7 Q2 Engineering Pub Date : 2023-09-19 DOI: 10.1109/LSSC.2023.3306369
Nicolas Butzen;Harish Krishnamurthy;Zakir Ahmed;Sheldon Weng;Krishnan Ravichandran;Michael Zelikson;James Tschanz;Jonathan Douglas
This letter introduces the phase-merging turbo (PMT) technique, a method which significantly augments the output current capability of a continuous scalable conversion-ratio (CSCR) switched-capacitor voltage regulator (SCVR). The research also proposes a unique method for implementing communication-free ganging with these converters, enhancing their scalability across a wide range of power domain sizes. Fabricated using a 4-nm class CMOS technology, this study achieves a current density of 26 A/mm2 for monolithic capacitive voltage regulators, and a peak efficiency of 88.5%.
这封信介绍了并相涡轮(PMT)技术,这是一种显著提高连续可缩放转换比(CSCR)开关电容电压调节器(SCVR)输出电流能力的方法。该研究还提出了一种独特的方法来实现与这些转换器的无通信联动,增强了它们在各种功率域大小上的可扩展性。本研究采用4nm级CMOS技术制造,单片电容式稳压器的电流密度为26A/mm2,峰值效率为88.5%。
{"title":"A Monolithic 26 A/mm2 Continuously Scalable Conversion Ratio Switched-Capacitor Converter With Phase-Merging Turbo and Communication-Less Ganging","authors":"Nicolas Butzen;Harish Krishnamurthy;Zakir Ahmed;Sheldon Weng;Krishnan Ravichandran;Michael Zelikson;James Tschanz;Jonathan Douglas","doi":"10.1109/LSSC.2023.3306369","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3306369","url":null,"abstract":"This letter introduces the phase-merging turbo (PMT) technique, a method which significantly augments the output current capability of a continuous scalable conversion-ratio (CSCR) switched-capacitor voltage regulator (SCVR). The research also proposes a unique method for implementing communication-free ganging with these converters, enhancing their scalability across a wide range of power domain sizes. Fabricated using a 4-nm class CMOS technology, this study achieves a current density of 26 A/mm2 for monolithic capacitive voltage regulators, and a peak efficiency of 88.5%.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68079042","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 4-to-42-V Input 3.3-V Output Self-Biased DC–DC Buck Converter Featuring Leakage-Emulated Bootstrap Voltage Refresher and Anti-Deadlock 一种具有泄漏模拟自举电压刷新器和防死锁功能的4至42-V输入3.3 V输出自偏压DC-DC降压转换器
IF 2.7 Q2 Engineering Pub Date : 2023-09-13 DOI: 10.1109/LSSC.2023.3314795
Heejun Lee;Hyunki Han;Hyun-Sik Kim
This letter presents a 4-to-42-V input and 3.3-V output dc–dc buck converter for battery-powered automotive uses. Pulse-frequency modulation (PFM) is a common scheme employed to reduce quiescent current $(I_{Q})$ and mitigate battery drain. However, sustaining the bootstrap voltage $(V_{B})$ , essential for activating power switches, becomes arduous at elevated temperatures due to significant leakage currents, particularly when the switching frequency is low in no-load scenarios. To address this issue, this letter proposes a leakage-emulating oscillator-based (LEOB) refresher that stabilizes $V_{B}$ , even at temperatures as high as +125 °C. Additionally, an anti-deadlock self-bias supply is presented to further reduce $I_{Q}$ while ensuring fault tolerance. The chip, fabricated in a 180-nm BCD process, exhibits a low $I_{Q}$ of 3.2 $mu text{A}$ and a peak efficiency of 95.5% (93.3%) at $V_{mathrm{ IN}},,=$ 24 V (42 V), with demonstrated stability of $V_{B}$ from −40 °C to +125 °C.
这封信介绍了一种用于电池供电汽车的4至42伏输入和3.3伏输出直流-直流降压转换器。脉冲频率调制(PFM)是用于减少静态电流$(I_{Q})$和减轻电池消耗的常见方案。然而,由于显著的漏电流,特别是当开关频率在空载情况下较低时,维持自举电压$(V_{B})$在升高的温度下变得困难,这对于激活功率开关是必不可少的。为了解决这个问题,这封信提出了一种基于泄漏模拟振荡器(LEOB)的刷新器,即使在高达+125°C的温度下也能稳定$V_{B}$。此外,还提出了一种抗死锁自偏置电源,以进一步降低$I_{Q}$,同时确保容错性。该芯片采用180nm BCD工艺制造,在$V_{mathrm{in}},=$24V(42V)时表现出3.2$mutext{a}$的低$I_{Q}$和95.5%(93.3%)的峰值效率,在−40°C至+125°C时表现出$V_。
{"title":"A 4-to-42-V Input 3.3-V Output Self-Biased DC–DC Buck Converter Featuring Leakage-Emulated Bootstrap Voltage Refresher and Anti-Deadlock","authors":"Heejun Lee;Hyunki Han;Hyun-Sik Kim","doi":"10.1109/LSSC.2023.3314795","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3314795","url":null,"abstract":"This letter presents a 4-to-42-V input and 3.3-V output dc–dc buck converter for battery-powered automotive uses. Pulse-frequency modulation (PFM) is a common scheme employed to reduce quiescent current \u0000<inline-formula> <tex-math>$(I_{Q})$ </tex-math></inline-formula>\u0000 and mitigate battery drain. However, sustaining the bootstrap voltage \u0000<inline-formula> <tex-math>$(V_{B})$ </tex-math></inline-formula>\u0000, essential for activating power switches, becomes arduous at elevated temperatures due to significant leakage currents, particularly when the switching frequency is low in no-load scenarios. To address this issue, this letter proposes a leakage-emulating oscillator-based (LEOB) refresher that stabilizes \u0000<inline-formula> <tex-math>$V_{B}$ </tex-math></inline-formula>\u0000, even at temperatures as high as +125 °C. Additionally, an anti-deadlock self-bias supply is presented to further reduce \u0000<inline-formula> <tex-math>$I_{Q}$ </tex-math></inline-formula>\u0000 while ensuring fault tolerance. The chip, fabricated in a 180-nm BCD process, exhibits a low \u0000<inline-formula> <tex-math>$I_{Q}$ </tex-math></inline-formula>\u0000 of 3.2 \u0000<inline-formula> <tex-math>$mu text{A}$ </tex-math></inline-formula>\u0000 and a peak efficiency of 95.5% (93.3%) at \u0000<inline-formula> <tex-math>$V_{mathrm{ IN}},,=$ </tex-math></inline-formula>\u0000 24 V (42 V), with demonstrated stability of \u0000<inline-formula> <tex-math>$V_{B}$ </tex-math></inline-formula>\u0000 from −40 °C to +125 °C.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68079039","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
CMOS 16FF Digital Power Amplifier RF Reliability Characterization CMOS 16FF数字功率放大器射频可靠性表征
IF 2.7 Q2 Engineering Pub Date : 2023-09-12 DOI: 10.1109/LSSC.2023.3314458
L. Zohar;I. Shternberg;B. Khamaisi;A. Nazimov;A. Ben-Bassat;O. Degani
This letter describes the reliability characterization process of switched capacitor digital power amplifier (SCDPA) manufactured in CMOS acrlong 16FF technology. Power amplifiers (PAs) operate at RF frequencies (2.4 and 5–7 GHz) in which the instantaneous voltage on the transistor terminals might exceed the maximum rated voltage, Vmax allowed by the process technology. Since the available technology models for reliability degradation under RF conditions are limited, a detailed design analysis for possible failure mechanisms was done, followed by product data collection while operating in RF. In this letter, we describe this process, including SCDPA reliability risk assessment and stress experiments. We explain how in SCDPA the VDD voltage is critical for failure acceleration and not the output power (as in analog power amplifier (PA). We also show that the initial design suffered from pMOS negative bias temperature instability (NBTI) which resulted in 2nd harmonics degradation. The NBTI issue was overcome by a novel design in which the bulk voltage is dynamically changed to lower the effective source–gate voltage (Vsg) on the SCDPA pMOS transistors. To stress the SCDPA, we used a setup in which the SCDPA transmits a continuous waveform (CW) along with voltage and temperature acceleration. Finally, we show how the dynamic bulk voltage solution was successful in overcoming the NBTI degradation.
本文描述了采用CMOS acrlong 16FF技术制造的开关电容数字功率放大器(SCDPA)的可靠性表征过程。功率放大器(PA)在RF频率(2.4和5–7 GHz)下工作,其中晶体管端子上的瞬时电压可能超过工艺技术允许的最大额定电压Vmax。由于射频条件下可靠性退化的可用技术模型有限,因此对可能的故障机制进行了详细的设计分析,然后在射频条件下操作时收集了产品数据。在这封信中,我们描述了这一过程,包括SCDPA可靠性风险评估和压力实验。我们解释了在SCDPA中,VDD电压对故障加速至关重要,而不是输出功率(如在模拟功率放大器(PA)中)。我们还表明,最初的设计受到pMOS负偏置温度不稳定性(NBTI)的影响,这导致了二次谐波的退化。NBTI问题通过一种新颖的设计得到了克服,在该设计中,体电压被动态改变,以降低SCDPA pMOS晶体管上的有效源极-栅极电压(Vsg)。为了强调SCDPA,我们使用了一种设置,其中SCDPA传输连续波形(CW)以及电压和温度加速度。最后,我们展示了动态体电压解决方案是如何成功克服NBTI退化的。
{"title":"CMOS 16FF Digital Power Amplifier RF Reliability Characterization","authors":"L. Zohar;I. Shternberg;B. Khamaisi;A. Nazimov;A. Ben-Bassat;O. Degani","doi":"10.1109/LSSC.2023.3314458","DOIUrl":"https://doi.org/10.1109/LSSC.2023.3314458","url":null,"abstract":"This letter describes the reliability characterization process of switched capacitor digital power amplifier (SCDPA) manufactured in CMOS acrlong 16FF technology. Power amplifiers (PAs) operate at RF frequencies (2.4 and 5–7 GHz) in which the instantaneous voltage on the transistor terminals might exceed the maximum rated voltage, Vmax allowed by the process technology. Since the available technology models for reliability degradation under RF conditions are limited, a detailed design analysis for possible failure mechanisms was done, followed by product data collection while operating in RF. In this letter, we describe this process, including SCDPA reliability risk assessment and stress experiments. We explain how in SCDPA the VDD voltage is critical for failure acceleration and not the output power (as in analog power amplifier (PA). We also show that the initial design suffered from pMOS negative bias temperature instability (NBTI) which resulted in 2nd harmonics degradation. The NBTI issue was overcome by a novel design in which the bulk voltage is dynamically changed to lower the effective source–gate voltage (Vsg) on the SCDPA pMOS transistors. To stress the SCDPA, we used a setup in which the SCDPA transmits a continuous waveform (CW) along with voltage and temperature acceleration. Finally, we show how the dynamic bulk voltage solution was successful in overcoming the NBTI degradation.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2023-09-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"68080233","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Solid-State Circuits Letters
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1