首页 > 最新文献

IEEE Solid-State Circuits Letters最新文献

英文 中文
An 18-nW CMOS Current and Voltage Reference Circuit With Low Line Sensitivity and Wide Temperature Range 具有低线路灵敏度和宽温度范围的 18-nW CMOS 电流和电压基准电路
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-03-30 DOI: 10.1109/LSSC.2024.3407583
I-Fan Lin;Yu-Chu Tsai;Heng-Li Lin;Yu-Te Liao
This letter presents a design for a voltage and current reference (VCR) that utilizes a 0.18- $mu $ m CMOS process. The design employs stacked-diode MOS transistors (SDMTs) to generate a voltage that is complementary to absolute temperature for the current reference (CR). By adjusting the transistor size ratio, this bias voltage exhibits the similar temperature coefficient (TC) as that of the resistor in the CR. To enhance temperature compensation, a reversely biased transistor is employed in the voltage reference (VR). Additionally, the cascode current mirror and SDMTs in the VR mitigate supply sensitivity in both voltage and current outputs. The VCR achieves a TC of 124 ppm/°C in VR and 264 ppm/°C in CR over a temperature range of $- 40~^{circ }$ C to $130~^{circ }$ C. Furthermore, it achieves a line sensitivity of 0.011 %/V in VR and 0.094 %/V in CR while operating at 18.51 nW at room temperature. The active chip area of the VCR is approximately $25~000~mu $ m2.
这封信介绍了一种电压和电流基准 (VCR) 的设计,它采用了 0.18 英寸 CMOS 工艺。该设计采用堆叠二极管 MOS 晶体管 (SDMT) 为电流基准 (CR) 产生与绝对温度互补的电压。通过调整晶体管尺寸比,该偏置电压显示出与 CR 中电阻器相似的温度系数 (TC)。为了加强温度补偿,电压基准 (VR) 采用了反向偏置晶体管。此外,VR 中的级联电流镜和 SDMT 可减轻电压和电流输出中的电源敏感性。此外,在室温下以 18.51 nW 工作时,VR 的线路灵敏度为 0.011 %/V,CR 的线路灵敏度为 0.094 %/V。VCR 的有源芯片面积约为 25~000~mu $ m2。
{"title":"An 18-nW CMOS Current and Voltage Reference Circuit With Low Line Sensitivity and Wide Temperature Range","authors":"I-Fan Lin;Yu-Chu Tsai;Heng-Li Lin;Yu-Te Liao","doi":"10.1109/LSSC.2024.3407583","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3407583","url":null,"abstract":"This letter presents a design for a voltage and current reference (VCR) that utilizes a 0.18-\u0000<inline-formula> <tex-math>$mu $ </tex-math></inline-formula>\u0000m CMOS process. The design employs stacked-diode MOS transistors (SDMTs) to generate a voltage that is complementary to absolute temperature for the current reference (CR). By adjusting the transistor size ratio, this bias voltage exhibits the similar temperature coefficient (TC) as that of the resistor in the CR. To enhance temperature compensation, a reversely biased transistor is employed in the voltage reference (VR). Additionally, the cascode current mirror and SDMTs in the VR mitigate supply sensitivity in both voltage and current outputs. The VCR achieves a TC of 124 ppm/°C in VR and 264 ppm/°C in CR over a temperature range of \u0000<inline-formula> <tex-math>$- 40~^{circ }$ </tex-math></inline-formula>\u0000C to \u0000<inline-formula> <tex-math>$130~^{circ }$ </tex-math></inline-formula>\u0000C. Furthermore, it achieves a line sensitivity of 0.011 %/V in VR and 0.094 %/V in CR while operating at 18.51 nW at room temperature. The active chip area of the VCR is approximately \u0000<inline-formula> <tex-math>$25~000~mu $ </tex-math></inline-formula>\u0000m2.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-03-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141474867","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 23.9-μW 13.6-Bit Period Modulation-Based Capacitance-to-Digital Converter With Dynamic Current Mirror Front-End 带动态电流镜前端的 23.9μW 13.6 位基于周期调制的电容数字转换器
IF 2.7 Q2 Engineering Pub Date : 2024-03-28 DOI: 10.1109/LSSC.2024.3382813
Hyeyeon Lee;Donguk Seo;Young-Jin Woo;Yoonmyung Lee;Inhee Lee;Youngcheol Chae
This letter proposes a low-power high-precision capacitance-to-digital converter (CDC) utilizing a dynamic current mirror (DCM) to transform a sensor input capacitance $(C_{mathrm{ IN}})$ into an output current. The resulting current is directly proportional to the ratio of $C_{mathrm{ IN}}$ to an internal reference capacitor $(C_{mathrm {REF}})$ and subsequently converted into a period-modulated output, facilitating simple digitization by a digital counter. The CDC achieves an extensive $C_{mathrm{ IN}}$ range of 1 to 68 pF without the need for a power-hungry reference buffer. Fabricated in a 65-nm CMOS process, the prototype IC occupies a small area of 0.05-mm2 and consumes only $23.9~mu text{W}$ even with a $C_{mathrm{ IN}}$ of 47 pF. It achieves a capacitance resolution of 1.65 fF for a $C_{mathrm{ IN}}$ of 1 pF with a conversion time of 4 ms, corresponding to a 13.6-bit effective number of bit.
本文提出了一种低功耗高精度电容数字转换器(CDC),利用动态电流镜(DCM)将传感器输入电容 $(C_{mathrm{ IN}}) $ 转换为输出电流。由此产生的电流与 $C_{mathrm{ IN}}$ 与内部参考电容 $(C_{mathrm {REF}})$的比率成正比,随后转换为周期调制输出,便于数字计数器进行简单的数字化。CDC 实现了 1 至 68 pF 的广泛 $C_{mathrm{ IN}}$ 范围,而无需耗电的基准缓冲器。原型集成电路采用 65 纳米 CMOS 工艺制造,占地面积小,仅为 0.05 平方毫米,即使在 $C_{mathrm{ IN}}$ 为 47 pF 的情况下,功耗也仅为 $23.9~mu text{W}$。当 $C_{mathrm{ IN}}$ 为 1 pF 时,它的电容分辨率为 1.65 fF,转换时间为 4 ms,有效位数为 13.6 位。
{"title":"A 23.9-μW 13.6-Bit Period Modulation-Based Capacitance-to-Digital Converter With Dynamic Current Mirror Front-End","authors":"Hyeyeon Lee;Donguk Seo;Young-Jin Woo;Yoonmyung Lee;Inhee Lee;Youngcheol Chae","doi":"10.1109/LSSC.2024.3382813","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3382813","url":null,"abstract":"This letter proposes a low-power high-precision capacitance-to-digital converter (CDC) utilizing a dynamic current mirror (DCM) to transform a sensor input capacitance \u0000<inline-formula> <tex-math>$(C_{mathrm{ IN}})$ </tex-math></inline-formula>\u0000 into an output current. The resulting current is directly proportional to the ratio of \u0000<inline-formula> <tex-math>$C_{mathrm{ IN}}$ </tex-math></inline-formula>\u0000 to an internal reference capacitor \u0000<inline-formula> <tex-math>$(C_{mathrm {REF}})$ </tex-math></inline-formula>\u0000 and subsequently converted into a period-modulated output, facilitating simple digitization by a digital counter. The CDC achieves an extensive \u0000<inline-formula> <tex-math>$C_{mathrm{ IN}}$ </tex-math></inline-formula>\u0000 range of 1 to 68 pF without the need for a power-hungry reference buffer. Fabricated in a 65-nm CMOS process, the prototype IC occupies a small area of 0.05-mm2 and consumes only \u0000<inline-formula> <tex-math>$23.9~mu text{W}$ </tex-math></inline-formula>\u0000 even with a \u0000<inline-formula> <tex-math>$C_{mathrm{ IN}}$ </tex-math></inline-formula>\u0000 of 47 pF. It achieves a capacitance resolution of 1.65 fF for a \u0000<inline-formula> <tex-math>$C_{mathrm{ IN}}$ </tex-math></inline-formula>\u0000 of 1 pF with a conversion time of 4 ms, corresponding to a 13.6-bit effective number of bit.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140606068","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Compact Phase-Domain Delta–Sigma Time-to-Digital Converter With 8.5-ps Resolution for LiDAR Applications 用于激光雷达应用的 8.5 ps 分辨率紧凑型相位域三角积分时数字转换器
IF 2.7 Q2 Engineering Pub Date : 2024-03-27 DOI: 10.1109/LSSC.2024.3382594
Yoondeok Na;Myung-Jae Lee;Youngcheol Chae
This letter introduces a compact, high-resolution time-to-digital converter (TDC) for lidar applications. In contrast to a conventional histogram-based peak detection method, this letter proposes a mean detection method using a highly digitized phase-domain delta–sigma (PD $Delta Sigma$ ) TDC. The proposed TDC operates in an incremental $Delta Sigma $ manner for a compact implementation and utilizing a digital integrator as a loop filter that facilitates an extended counting, resulting in significantly improved resolution. By utilizing a dual gated-ring oscillator (GRO) structure, time-quantization noise due to a residue phase of GRO is effectively mitigated. To address the issue of single-photon avalanche diode (SPAD) signals due to their stochastic nature, a dual time window is proposed to compensate for counting error when SPAD trigger missing occurs. Fabricated in a 65-nm CMOS process, the prototype TDC occupies only an area of $2000~mu text{m}~^{mathrm{ 2}}$ . It achieves a noise level of 27.6 ps for the number of cycles of 32. When the cycle is 1000, it achieves a maximum integral nonlinearity (INL) of 80 ps (+53 ps/-27 ps) with a resolution of 8.5 ps.
这封信介绍了一种用于激光雷达应用的紧凑型高分辨率时间数字转换器(TDC)。与传统的基于直方图的峰值检测方法不同,本文提出了一种使用高度数字化的相域三角Σ(PD $Delta Sigma$ )TDC 的均值检测方法。所提出的 TDC 以增量 $Delta Sigma $ 方式运行,实现了紧凑的结构,并利用数字积分器作为环路滤波器,便于扩展计数,从而显著提高了分辨率。通过利用双栅环振荡器(GRO)结构,GRO 的残差相位导致的时间量化噪声得到了有效缓解。为了解决单光子雪崩二极管(SPAD)信号的随机性问题,我们提出了一个双时间窗来补偿 SPAD 触发器缺失时的计数误差。原型 TDC 采用 65 纳米 CMOS 工艺制造,占地面积仅为 2000~mu text{m}~^{mathrm{ 2}}$。 当周期数为 32 时,它能达到 27.6 ps 的噪声水平。当周期数为 1000 时,它的最大积分非线性(INL)为 80 ps(+53 ps/-27 ps),分辨率为 8.5 ps。
{"title":"A Compact Phase-Domain Delta–Sigma Time-to-Digital Converter With 8.5-ps Resolution for LiDAR Applications","authors":"Yoondeok Na;Myung-Jae Lee;Youngcheol Chae","doi":"10.1109/LSSC.2024.3382594","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3382594","url":null,"abstract":"This letter introduces a compact, high-resolution time-to-digital converter (TDC) for lidar applications. In contrast to a conventional histogram-based peak detection method, this letter proposes a mean detection method using a highly digitized phase-domain delta–sigma (PD\u0000<inline-formula> <tex-math>$Delta Sigma$ </tex-math></inline-formula>\u0000) TDC. The proposed TDC operates in an incremental \u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000 manner for a compact implementation and utilizing a digital integrator as a loop filter that facilitates an extended counting, resulting in significantly improved resolution. By utilizing a dual gated-ring oscillator (GRO) structure, time-quantization noise due to a residue phase of GRO is effectively mitigated. To address the issue of single-photon avalanche diode (SPAD) signals due to their stochastic nature, a dual time window is proposed to compensate for counting error when SPAD trigger missing occurs. Fabricated in a 65-nm CMOS process, the prototype TDC occupies only an area of \u0000<inline-formula> <tex-math>$2000~mu text{m}~^{mathrm{ 2}}$ </tex-math></inline-formula>\u0000. It achieves a noise level of 27.6 ps for the number of cycles of 32. When the cycle is 1000, it achieves a maximum integral nonlinearity (INL) of 80 ps (+53 ps/-27 ps) with a resolution of 8.5 ps.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140559311","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 50–67-GHz Transformer-Based Six-Port Balanced-to-Unbalanced Quadrature Hybrid Coupler 基于变压器的 50-67-GHz 六端口平衡至不平衡正交混合耦合器
IF 2.7 Q2 Engineering Pub Date : 2024-03-26 DOI: 10.1109/LSSC.2024.3381811
Yang Gao;Howard C. Luong
This letter presents the first on-chip transformer-based six-port balanced-to-unbalanced quadrature hybrid coupler (QHBC). The proposed six-port QHBC employs three transformers to replace eight inductors design in conventional LC-based couplers for miniaturization. Fabricated in CMOS 28 nm, the overall size of the proposed coupler is 0.23 mm $times0.17$ mm, which is equivalent to $0.046cdot lambda _{0} times 0.034cdot lambda _{0}$ , around 20 times smaller compared to the state-of-the-art six-port QHBC. Operating from 48 to 67 GHz, the measured differential and common mode return loss are <−8>−2.2 dB, respectively. The measured output phase and magnitude imbalance are within 10° and 2 dB, respectively. The measured voltage gain varies from −5.8 to −2.8 dB.
本文介绍了首个基于片上变压器的六端口平衡-不平衡正交混合耦合器(QHBC)。为了实现小型化,拟议的六端口 QHBC 采用三个变压器取代传统 LC 耦合器中的八个电感器。所提出的耦合器采用28纳米CMOS工艺制造,整体尺寸为0.23毫米/次0.17美元毫米,相当于0.046美元/次0.034美元。与最先进的六端口 QHBC 相比,体积缩小了约 20 倍。工作频率为 48 至 67 GHz,测得的差模和共模回损分别为 -2.2 dB。测得的输出相位和幅度不平衡分别在 10° 和 2 dB 范围内。测得的电压增益从 -5.8 到 -2.8 dB 不等。
{"title":"A 50–67-GHz Transformer-Based Six-Port Balanced-to-Unbalanced Quadrature Hybrid Coupler","authors":"Yang Gao;Howard C. Luong","doi":"10.1109/LSSC.2024.3381811","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3381811","url":null,"abstract":"This letter presents the first on-chip transformer-based six-port balanced-to-unbalanced quadrature hybrid coupler (QHBC). The proposed six-port QHBC employs three transformers to replace eight inductors design in conventional LC-based couplers for miniaturization. Fabricated in CMOS 28 nm, the overall size of the proposed coupler is 0.23 mm \u0000<inline-formula> <tex-math>$times0.17$ </tex-math></inline-formula>\u0000 mm, which is equivalent to \u0000<inline-formula> <tex-math>$0.046cdot lambda _{0} times 0.034cdot lambda _{0}$ </tex-math></inline-formula>\u0000, around 20 times smaller compared to the state-of-the-art six-port QHBC. Operating from 48 to 67 GHz, the measured differential and common mode return loss are <−8>−2.2 dB, respectively. The measured output phase and magnitude imbalance are within 10° and 2 dB, respectively. The measured voltage gain varies from −5.8 to −2.8 dB.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-26","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140619579","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Corrections to “A Dynamic Power-Only Compute-in-Memory Macro With Power-of-Two Nonlinear SAR ADC for Nonvolatile Ferroelectric Capacitive Crossbar Array” 对 "用于非易失性铁电电容式交叉排列的动态只需电源的内存计算宏与两功率非线性 SAR ADC "的更正
IF 2.7 Q2 Engineering Pub Date : 2024-03-21 DOI: 10.1109/LSSC.2024.3371728
Injune Yeo;Wangxin He;Yuan-Chun Luo;Shimeng Yu;Jae-Sun Seo
In the article [1], Table 2 was incorrectly copied from Table I. The correct Table 2 in [1] is shown below.
在文章[1]中,表 2 错误地抄袭了表 I。下文是 [1] 中正确的表 2。
{"title":"Corrections to “A Dynamic Power-Only Compute-in-Memory Macro With Power-of-Two Nonlinear SAR ADC for Nonvolatile Ferroelectric Capacitive Crossbar Array”","authors":"Injune Yeo;Wangxin He;Yuan-Chun Luo;Shimeng Yu;Jae-Sun Seo","doi":"10.1109/LSSC.2024.3371728","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3371728","url":null,"abstract":"In the article \u0000<xref>[1]</xref>\u0000, \u0000<xref>Table 2</xref>\u0000 was incorrectly copied from Table I. The correct \u0000<xref>Table 2</xref>\u0000 in \u0000<xref>[1]</xref>\u0000 is shown below.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-21","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"https://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=10477667","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140188372","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"OA","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 4-Element Ka-Band Phased-Array Receiver With Code-Domain Hybrid Beamforming 具有码域混合波束成形功能的 4 元 Ka 波段相控阵接收器
IF 2.7 Q2 Engineering Pub Date : 2024-03-20 DOI: 10.1109/LSSC.2024.3379562
Ziyi Lin;Haikun Jia;Chuanming Zhu;Wei Deng;Huabing Liao;Bao Shi;Lujie Hao;Xiangrong Huang;Baoyong Chi
This letter presents a 4-element phased-array receiver with code-domain hybrid beamforming (CDHBF) in 65-nm CMOS technology. Code-division multiplexing is used to fully preserve the flexibility in the digital domain while using a single RF interface, which reduces the RF chain complexity, reduces the chip area, and improves power efficiency. Phase and amplitude control circuits are also integrated into each path to keep the flexibility to use the receiver as a traditional 4-element phased-array. The phased-array and code modulator can be turned on or off to reconfigure this structure into an analog beamformer, hybrid beamformer, and digital beamformer according to applications. An over-the-air wireless measurement is set up and two streams from different directions are simultaneously received and processed by the proposed receiver. The measured EVMs in CDHBF mode are -22.7 and -20.5 dB for 100 and 200-Ms/s data streams, respectively, without any digital domain equalization.
这封信介绍了一种采用 65 纳米 CMOS 技术、具有码域混合波束成形 (CDHBF) 功能的 4 元相控阵接收器。该接收器采用码分复用技术,在使用单一射频接口的同时充分保留了数字域的灵活性,从而降低了射频链的复杂性,减小了芯片面积,提高了能效。每个路径还集成了相位和振幅控制电路,以保持接收器作为传统 4 元相控阵使用的灵活性。相控阵和代码调制器可以打开或关闭,以便根据应用将这种结构重新配置为模拟波束形成器、混合波束形成器和数字波束形成器。我们设置了一个空中无线测量,并同时接收来自不同方向的两个数据流,并由拟议的接收器进行处理。在 CDHBF 模式下,100 Ms/s 和 200 Ms/s 数据流的测量 EVM 分别为 -22.7 和 -20.5 dB,无需任何数字域均衡。
{"title":"A 4-Element Ka-Band Phased-Array Receiver With Code-Domain Hybrid Beamforming","authors":"Ziyi Lin;Haikun Jia;Chuanming Zhu;Wei Deng;Huabing Liao;Bao Shi;Lujie Hao;Xiangrong Huang;Baoyong Chi","doi":"10.1109/LSSC.2024.3379562","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3379562","url":null,"abstract":"This letter presents a 4-element phased-array receiver with code-domain hybrid beamforming (CDHBF) in 65-nm CMOS technology. Code-division multiplexing is used to fully preserve the flexibility in the digital domain while using a single RF interface, which reduces the RF chain complexity, reduces the chip area, and improves power efficiency. Phase and amplitude control circuits are also integrated into each path to keep the flexibility to use the receiver as a traditional 4-element phased-array. The phased-array and code modulator can be turned on or off to reconfigure this structure into an analog beamformer, hybrid beamformer, and digital beamformer according to applications. An over-the-air wireless measurement is set up and two streams from different directions are simultaneously received and processed by the proposed receiver. The measured EVMs in CDHBF mode are -22.7 and -20.5 dB for 100 and 200-Ms/s data streams, respectively, without any digital domain equalization.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140559360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Wideband Low-Noise Linear LiDAR Analog Front-End Achieving 1.6-GHz Bandwidth, 2.7-pA/Hz0.5 Input-Referred Noise, and 103-dBΩ Transimpedance Gain 宽带低噪声线性激光雷达模拟前端,实现 1.6 GHz 带宽、2.7-pA/Hz0.5 输入参考噪声和 103-dBΩ 跨阻增益
IF 2.7 Q2 Engineering Pub Date : 2024-03-19 DOI: 10.1109/LSSC.2024.3378093
Zhao Zhang;Yidan Zhang;Yiqing Xu;Xinyu Shen;Guike Li;Nan Qi;Jian Liu;Nanjian Wu;Liyuan Liu
This letter presents a low-noise wideband analog front-end (AFE) circuit for long-range linear LiDAR. The nMOS feedforward transimpedance amplifier with inner feedback resistor (NFFR-TIA) is proposed to extend the bandwidth to around 400 MHz and reduce the input referred noise (IRN) concurrently with high-transimpedance gain and improved stability. Two stage continuous-time linear feedback circuits are introduced to further boost the bandwidth to over-1 GHz with flatten in-band AC response and negligible extra noise. Fabricated in a 40-nm CMOS process, our AFE achieves an average IRN of 2.7 pA/Hz $^{mathrm{ 0.5}}$ , 1.6-GHz bandwidth, 103-dB $Omega $ transimpedance gain, and 10-mW power consumption.
本文介绍了一种用于长距离线性激光雷达的低噪声宽带模拟前端(AFE)电路。该电路采用带内反馈电阻器的 nMOS 前馈跨阻放大器 (NFFR-TIA),将带宽扩展到约 400 MHz,并降低了输入参考噪声 (IRN),同时实现了高跨阻增益并提高了稳定性。此外,还引入了两级连续时间线性反馈电路,进一步将带宽提高到 1 GHz 以上,并实现了平坦的带内交流响应和可忽略不计的额外噪声。我们的 AFE 采用 40 纳米 CMOS 工艺制造,平均 IRN 为 2.7 pA/Hz $^{mathrm{ 0.5}}$,带宽为 1.6 GHz,跨阻增益为 103-dB $Omega $,功耗为 10-mW。
{"title":"A Wideband Low-Noise Linear LiDAR Analog Front-End Achieving 1.6-GHz Bandwidth, 2.7-pA/Hz0.5 Input-Referred Noise, and 103-dBΩ Transimpedance Gain","authors":"Zhao Zhang;Yidan Zhang;Yiqing Xu;Xinyu Shen;Guike Li;Nan Qi;Jian Liu;Nanjian Wu;Liyuan Liu","doi":"10.1109/LSSC.2024.3378093","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3378093","url":null,"abstract":"This letter presents a low-noise wideband analog front-end (AFE) circuit for long-range linear LiDAR. The nMOS feedforward transimpedance amplifier with inner feedback resistor (NFFR-TIA) is proposed to extend the bandwidth to around 400 MHz and reduce the input referred noise (IRN) concurrently with high-transimpedance gain and improved stability. Two stage continuous-time linear feedback circuits are introduced to further boost the bandwidth to over-1 GHz with flatten in-band AC response and negligible extra noise. Fabricated in a 40-nm CMOS process, our AFE achieves an average IRN of 2.7 pA/Hz \u0000<inline-formula> <tex-math>$^{mathrm{ 0.5}}$ </tex-math></inline-formula>\u0000, 1.6-GHz bandwidth, 103-dB\u0000<inline-formula> <tex-math>$Omega $ </tex-math></inline-formula>\u0000 transimpedance gain, and 10-mW power consumption.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140559359","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 6.4-Gb/s/pin nand Flash Memory Multichip Package Employing a Frequency Multiplying Bridge Chip for Scalable Performance and Capacity Storage Systems 采用倍频桥接芯片的 6.4 GB/s/针 nand 闪存多芯片封装,用于可扩展性能和容量的存储系统
IF 2.7 Q2 Engineering Pub Date : 2024-03-14 DOI: 10.1109/LSSC.2024.3377263
Shinichi Ikeda;Akira Iwata;Goichi Otomo;Tomoaki Suzuki;Hiroaki Iijima;Mikio Shiraishi;Shinya Kawakami;Masatomo Eimitsu;Yoshiki Matsuoka;Kiyohito Sato;Shigehiro Tsuchiya;Yoshinori Shigeta;Takuma Aoyama
This letter describes a NAND flash memory multichip package (NAND MCP) incorporating a developed LSI interface (IF) Chip (Bridge Chip) in which the IF to and from the solid-state drive (SSD) controller has twice the speed as that of the IF to and from the NAND dies even with multiple packages on each printed circuit board (PCB) channel. This NAND MCP allows to reduce the number of NAND IF channels on the PCB while retaining the total bandwidth of the SSD and increasing the capacity. The Bridge Chip employs a 2:1 frequency multiplying function to bridge the speed gap, a fast-lock phase-locked loop (PLL) with an extended pull-in range and 16-cycle lock time to enhance the IF performance with its input-jitter filtering effect, and equalizers to compensate for intersymbol interference and reflected noise in up to a 4-drop configuration. The Bridge Chip implemented in a 12-nm CMOS process is demonstrated at 6.4 Gb/s/pin with 2.85-pJ/b I/O energy efficiency in a read operation. The NAND MCP incorporating the Bridge Chip and eight 1-Tb NAND dies achieves data transmission to and from field-programmable gate array (FPGA) at twice the speed of the NAND IF in a 2-drop configuration.
本信介绍了一种 NAND 闪存多芯片封装(NAND MCP),其中集成了开发的 LSI 接口(IF)芯片(桥接芯片),即使每个印刷电路板(PCB)通道上有多个封装,与固态硬盘(SSD)控制器之间的 IF 速度也是与 NAND 芯片之间的 IF 速度的两倍。这种 NAND MCP 可以减少 PCB 上 NAND IF 通道的数量,同时保持固态硬盘的总带宽并增加容量。桥接芯片采用 2:1 倍频功能来缩小速度差距,采用具有扩展拉入范围和 16 个周期锁定时间的快速锁定锁相环 (PLL),通过其输入抖动滤波效果来提高中频性能,并采用均衡器来补偿高达 4 滴配置中的符号间干扰和反射噪声。桥接芯片采用 12 纳米 CMOS 工艺实现,在读取操作中的能效为 6.4 Gb/s/pin,I/O 能效为 2.85-pJ/b。集成了桥接芯片和 8 个 1-Tb NAND 芯片的 NAND MCP 在 2 滴配置中实现了与现场可编程门阵列 (FPGA) 之间的数据传输,传输速度是 NAND IF 的两倍。
{"title":"A 6.4-Gb/s/pin nand Flash Memory Multichip Package Employing a Frequency Multiplying Bridge Chip for Scalable Performance and Capacity Storage Systems","authors":"Shinichi Ikeda;Akira Iwata;Goichi Otomo;Tomoaki Suzuki;Hiroaki Iijima;Mikio Shiraishi;Shinya Kawakami;Masatomo Eimitsu;Yoshiki Matsuoka;Kiyohito Sato;Shigehiro Tsuchiya;Yoshinori Shigeta;Takuma Aoyama","doi":"10.1109/LSSC.2024.3377263","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3377263","url":null,"abstract":"This letter describes a NAND flash memory multichip package (NAND MCP) incorporating a developed LSI interface (IF) Chip (Bridge Chip) in which the IF to and from the solid-state drive (SSD) controller has twice the speed as that of the IF to and from the NAND dies even with multiple packages on each printed circuit board (PCB) channel. This NAND MCP allows to reduce the number of NAND IF channels on the PCB while retaining the total bandwidth of the SSD and increasing the capacity. The Bridge Chip employs a 2:1 frequency multiplying function to bridge the speed gap, a fast-lock phase-locked loop (PLL) with an extended pull-in range and 16-cycle lock time to enhance the IF performance with its input-jitter filtering effect, and equalizers to compensate for intersymbol interference and reflected noise in up to a 4-drop configuration. The Bridge Chip implemented in a 12-nm CMOS process is demonstrated at 6.4 Gb/s/pin with 2.85-pJ/b I/O energy efficiency in a read operation. The NAND MCP incorporating the Bridge Chip and eight 1-Tb NAND dies achieves data transmission to and from field-programmable gate array (FPGA) at twice the speed of the NAND IF in a 2-drop configuration.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140348399","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Multichannel Injection-Locked OOK Transmitter With Current Mode Edge-Combining Power Amplifier 带电流模式边缘合成功率放大器的多通道注入锁定 OOK 发射机
IF 2.7 Q2 Engineering Pub Date : 2024-03-11 DOI: 10.1109/LSSC.2024.3375329
Sheng-Kai Chang;Zhi-Wei Lin;Kuang-Wei Cheng
This letter introduces an ultralow-power ON–OFF keying (OOK) wireless transmitter incorporating innovative multiphase injection locking and frequency multiplication techniques. The transmitter leverages a current mode class-D edge-combining power amplifier, ensuring high-energy efficiency in frequency multiplication to generate the carrier frequency. With a primary focus on facilitating multichannel support for Internet of Things (IoT) applications, the prototype incorporates a low-frequency phase-rotation-based frequency synthesizer. To mitigate the quantization noise in $Delta Sigma $ modulator of the synthesizer, the design combines an N-path filter and injection-locked ring oscillators to effectively filter out the shaped far-out phase noise. The prototype, fabricated in TSMC 90-nm CMOS, achieves an output power of −6.9 dBm with a power consumption of $890~mu text{W}$ at a 0.75-V supply voltage. It supports data rates of up to 40 Mb/s under OOK modulation, resulting in an energy efficiency of 22 pJ/bit and a global efficiency of 23%, showcasing its effectiveness in balancing performance and power consumption.
这封信介绍了一种超低功耗开-关键控(OOK)无线发射机,它采用了创新的多相注入锁定和频率倍增技术。该发射器利用电流模式 D 类边缘合并功率放大器,确保频率倍增产生载波频率的高能效。该原型主要侧重于促进对物联网(IoT)应用的多通道支持,采用了基于相位旋转的低频频率合成器。为了减轻合成器的ΔΣ调制器中的量化噪声,该设计结合了N路径滤波器和注入锁定环形振荡器,以有效滤除形远相位噪声。原型采用台积电90纳米CMOS制造,在0.75伏电源电压下,输出功率为-6.9 dBm,功耗为890~mu text{W}$。在 OOK 调制下,它支持高达 40 Mb/s 的数据传输速率,能效为 22 pJ/bit,总体能效为 23%,在性能和功耗之间实现了有效平衡。
{"title":"A Multichannel Injection-Locked OOK Transmitter With Current Mode Edge-Combining Power Amplifier","authors":"Sheng-Kai Chang;Zhi-Wei Lin;Kuang-Wei Cheng","doi":"10.1109/LSSC.2024.3375329","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3375329","url":null,"abstract":"This letter introduces an ultralow-power ON–OFF keying (OOK) wireless transmitter incorporating innovative multiphase injection locking and frequency multiplication techniques. The transmitter leverages a current mode class-D edge-combining power amplifier, ensuring high-energy efficiency in frequency multiplication to generate the carrier frequency. With a primary focus on facilitating multichannel support for Internet of Things (IoT) applications, the prototype incorporates a low-frequency phase-rotation-based frequency synthesizer. To mitigate the quantization noise in \u0000<inline-formula> <tex-math>$Delta Sigma $ </tex-math></inline-formula>\u0000 modulator of the synthesizer, the design combines an N-path filter and injection-locked ring oscillators to effectively filter out the shaped far-out phase noise. The prototype, fabricated in TSMC 90-nm CMOS, achieves an output power of −6.9 dBm with a power consumption of \u0000<inline-formula> <tex-math>$890~mu text{W}$ </tex-math></inline-formula>\u0000 at a 0.75-V supply voltage. It supports data rates of up to 40 Mb/s under OOK modulation, resulting in an energy efficiency of 22 pJ/bit and a global efficiency of 23%, showcasing its effectiveness in balancing performance and power consumption.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"140310160","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A VCO With Robust Implicit Common-Mode Resonance Against Nonideal Decoupling Network 针对非理想去耦网络的稳健隐含共模共振 VCO
IF 2.7 Q2 Engineering Pub Date : 2024-03-10 DOI: 10.1109/LSSC.2024.3399228
Dingxin Xu;Zheng Sun;Yuang Xiong;Yuncheng Zhang;Hongye Huang;Zezheng Liu;Ashbir Aviat Fadila;Atsushi Shirane;Kenichi Okada
This letter describes a voltage-controlled oscillator (VCO) that can achieve robust flicker noise suppression when the decoupling network is not ideal. Utilizing a multitap transformer, the implicit common-mode (CM) impedance quality factor (Q factor) degradation from the parasitic resistance of the decoupling network can be avoided. Fabricated in 65-nm CMOS, the proposed VCO realizes a flicker corner (1/f3 corner) from 70 to 230 kHz across the tuning range from 4.24 to 4.80 GHz. The proposed VCO achieves a phase noise (PN) of -127.4 dBc/Hz at 1 MHz offset frequency fofst and a Figure of Merit (FoM) of 193.1 dB. The core area of the VCO is 0.29 mm2.
这封信介绍了一种压控振荡器(VCO),当去耦网络不理想时,它能实现稳健的闪烁噪声抑制。利用多抽头变压器,可以避免去耦网络寄生电阻造成的隐含共模(CM)阻抗品质因数(Q 因数)下降。拟议的 VCO 采用 65 纳米 CMOS 制作,在 4.24 至 4.80 GHz 的调谐范围内实现了 70 至 230 kHz 的闪烁角(1/f3 角)。在 1 MHz 偏移频率 fofst 时,拟议 VCO 的相位噪声 (PN) 为 -127.4 dBc/Hz,优越性图 (FoM) 为 193.1 dB。VCO 的核心面积为 0.29 平方毫米。
{"title":"A VCO With Robust Implicit Common-Mode Resonance Against Nonideal Decoupling Network","authors":"Dingxin Xu;Zheng Sun;Yuang Xiong;Yuncheng Zhang;Hongye Huang;Zezheng Liu;Ashbir Aviat Fadila;Atsushi Shirane;Kenichi Okada","doi":"10.1109/LSSC.2024.3399228","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3399228","url":null,"abstract":"This letter describes a voltage-controlled oscillator (VCO) that can achieve robust flicker noise suppression when the decoupling network is not ideal. Utilizing a multitap transformer, the implicit common-mode (CM) impedance quality factor (Q factor) degradation from the parasitic resistance of the decoupling network can be avoided. Fabricated in 65-nm CMOS, the proposed VCO realizes a flicker corner (1/f3 corner) from 70 to 230 kHz across the tuning range from 4.24 to 4.80 GHz. The proposed VCO achieves a phase noise (PN) of -127.4 dBc/Hz at 1 MHz offset frequency fofst and a Figure of Merit (FoM) of 193.1 dB. The core area of the VCO is 0.29 mm2.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.7,"publicationDate":"2024-03-10","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141181903","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Solid-State Circuits Letters
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1