首页 > 最新文献

IEEE Solid-State Circuits Letters最新文献

英文 中文
0.6-V, μW-Power Four-Stage OTA With Minimal Components, and 100× Load Range 0.6 V、μW 功率四级 OTA,元件最少,负载范围达 100 倍
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-10-08 DOI: 10.1109/LSSC.2024.3476194
Marco Privitera;Alfio Dario Grasso;Andrea Ballo;Massimo Alioto
A four-stage operational transconductance amplifier (OTA) for ultralow-power applications is introduced in this letter. The proposed circuit inclusive of frequency compensation requires minimal transistor count and passives, overcoming the traditionally difficult compensation of four-stage OTAs and bringing it back to the simplicity of three-stage OTAs. At the same time, the proposed circuit achieves high power efficiency, as evidenced by the > $3.7times $ (> $11.3times $ ) improvement in the large-signal (small-signal) power efficiency figure of merit ${mathrm { FOM}}_{L}~({mathrm { FOM}}_{S})$ , compared to prior four-stage OTAs (sub-1 V multistage OTAs). Thanks to the lower sensitivity of the phase margin to the load capacitance, the proposed OTA remains stable under a wide range of loads (double-sided as in any three- and four-stage OTA), achieving a max/min ratio of the load capacitance of > $100times $ .
本信介绍了一种用于超低功耗应用的四级运算跨导放大器(OTA)。所提出的电路包括频率补偿,只需最少的晶体管数量和无源器件,克服了四级 OTA 传统上难以补偿的问题,使其回归到三级 OTA 的简单性。同时,与之前的四级 OTA(1 V 以下的多级 OTA)相比,所提出的电路实现了较高的功率效率,其大信号(小信号)功率效率优值 ${mathrm { FOM}}_{L}~({mathrm { FOM}}_{S})$ 提高了 > 3.7 (> $11.3)倍。由于相位裕度对负载电容的敏感性较低,因此所提出的 OTA 在各种负载(与任何三级和四级 OTA 一样为双面负载)下都能保持稳定,负载电容的最大/最小比> $100times $。
{"title":"0.6-V, μW-Power Four-Stage OTA With Minimal Components, and 100× Load Range","authors":"Marco Privitera;Alfio Dario Grasso;Andrea Ballo;Massimo Alioto","doi":"10.1109/LSSC.2024.3476194","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3476194","url":null,"abstract":"A four-stage operational transconductance amplifier (OTA) for ultralow-power applications is introduced in this letter. The proposed circuit inclusive of frequency compensation requires minimal transistor count and passives, overcoming the traditionally difficult compensation of four-stage OTAs and bringing it back to the simplicity of three-stage OTAs. At the same time, the proposed circuit achieves high power efficiency, as evidenced by the >\u0000<inline-formula> <tex-math>$3.7times $ </tex-math></inline-formula>\u0000 (>\u0000<inline-formula> <tex-math>$11.3times $ </tex-math></inline-formula>\u0000) improvement in the large-signal (small-signal) power efficiency figure of merit \u0000<inline-formula> <tex-math>${mathrm { FOM}}_{L}~({mathrm { FOM}}_{S})$ </tex-math></inline-formula>\u0000, compared to prior four-stage OTAs (sub-1 V multistage OTAs). Thanks to the lower sensitivity of the phase margin to the load capacitance, the proposed OTA remains stable under a wide range of loads (double-sided as in any three- and four-stage OTA), achieving a max/min ratio of the load capacitance of >\u0000<inline-formula> <tex-math>$100times $ </tex-math></inline-formula>\u0000.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-10-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142452674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Broadband GaN MMIC Doherty Power Amplifier Using Compact Short-Circuited Coupler 使用紧凑型短路耦合器的宽带 GaN MMIC Doherty 功率放大器
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-10-01 DOI: 10.1109/LSSC.2024.3471855
Shun Wan;Wenhua Chen;Guansheng Lv;Yuhang Zhang;Xu Shi;Zhenghe Feng
In this letter, a broadband gallium nitride (GaN) monolithic microwave integrated circuit Doherty power amplifier (DPA) using a compact short-circuited coupler (CSC) is presented. To enhance the bandwidth and reduce the size of integrated DPA, the conventional $lambda $ /2 transmission line in the peaking output matching network is replaced by the CSC structure. Detailed theoretical analysis and design procedures are provided. Based on the proposed solution, a 5.1–7.2-GHz DPA is designed using a 0.12- $mu $ m GaN HEMT process. The fractional bandwidth (FBW) is 34.1%. The measurement results show a saturated output power of 37.2–39 dBm and a 6-dB back-off drain efficiency of 38.4%–50.5% across the design bands with a chip size of $2.6times 2$ .6 mm. The adjacent channel power ratio (ACPR) under 100-MHz single-carrier 64 QAM modulation signal with a 6-dB peak-to-average power ratio (PAPR) excitation is better than −45 dBc with digital predistortion (DPD).
本文介绍了一种使用紧凑型短路耦合器(CSC)的宽带氮化镓(GaN)单片微波集成电路 Doherty 功率放大器(DPA)。为了提高带宽并减小集成 DPA 的尺寸,峰值输出匹配网络中的传统 $lambda $ /2 传输线被 CSC 结构所取代。本文提供了详细的理论分析和设计程序。根据所提出的解决方案,设计出了一种 5.1-7.2-GHz 的 DPA,采用的是 0.12- $mu $ m GaN HEMT 工艺。分数带宽(FBW)为 34.1%。测量结果显示,饱和输出功率为 37.2-39 dBm,整个设计频段的 6 dB 后关漏效率为 38.4%-50.5%,芯片尺寸为 2.6 美元乘 2.6 毫米。在 100 MHz 单载波 64 QAM 调制信号和 6 dB 峰均功率比 (PAPR) 激励下,邻道功率比 (ACPR) 优于数字预失真 (DPD) 下的 -45 dBc。
{"title":"Broadband GaN MMIC Doherty Power Amplifier Using Compact Short-Circuited Coupler","authors":"Shun Wan;Wenhua Chen;Guansheng Lv;Yuhang Zhang;Xu Shi;Zhenghe Feng","doi":"10.1109/LSSC.2024.3471855","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3471855","url":null,"abstract":"In this letter, a broadband gallium nitride (GaN) monolithic microwave integrated circuit Doherty power amplifier (DPA) using a compact short-circuited coupler (CSC) is presented. To enhance the bandwidth and reduce the size of integrated DPA, the conventional \u0000<inline-formula> <tex-math>$lambda $ </tex-math></inline-formula>\u0000/2 transmission line in the peaking output matching network is replaced by the CSC structure. Detailed theoretical analysis and design procedures are provided. Based on the proposed solution, a 5.1–7.2-GHz DPA is designed using a 0.12-\u0000<inline-formula> <tex-math>$mu $ </tex-math></inline-formula>\u0000m GaN HEMT process. The fractional bandwidth (FBW) is 34.1%. The measurement results show a saturated output power of 37.2–39 dBm and a 6-dB back-off drain efficiency of 38.4%–50.5% across the design bands with a chip size of \u0000<inline-formula> <tex-math>$2.6times 2$ </tex-math></inline-formula>\u0000.6 mm. The adjacent channel power ratio (ACPR) under 100-MHz single-carrier 64 QAM modulation signal with a 6-dB peak-to-average power ratio (PAPR) excitation is better than −45 dBc with digital predistortion (DPD).","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142452698","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 12 V Compliant Multichannel Dual Mode Neural Stimulator With 0.004% Charge Mismatch and a 4×VDD Tolerant On-Chip Discharge Switch in Low-Voltage CMOS 符合 12 V 标准的多通道双模式神经刺激器,电荷失配率为 0.004%,采用低压 CMOS,具有 4×VDD 容限的片上放电开关
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-25 DOI: 10.1109/LSSC.2024.3467341
Thanh Dat Nguyen;Alessandro Maggi;Gianluca Lazzi;Constantine Sideris
This letter presents a 12 V-compliant 4-channel neural stimulator fabricated in a low-voltage bulk CMOS process. Arrays of current memory cells are used to implement anodic and cathodic current sources to generate anodic and cathodic current ratios that are robust to process-voltage-temperature variations. A novel, fully integrated discharge switch is presented that tolerates an output voltage up to $4times V_{DD}$ , which is the highest reported for low-voltage bulk CMOS monopolar stimulators. The proposed neural stimulator can operate in both constant current mode (CCM) with a $1~mu $ -1.2 mA output current range and constant voltage mode (CVM) with a 1–11 V output voltage range. The output waveform is fully programmable, including cathodic and anodic amplitudes and ratios designed to have excellent charge balancing with only 0.004% charge mismatch.
这封信介绍了一种符合 12 V 标准的 4 通道神经刺激器,采用低压体 CMOS 工艺制造。利用电流存储单元阵列来实现阳极和阴极电流源,从而产生对工艺电压-温度变化具有鲁棒性的阳极和阴极电流比。该器件采用了新型全集成放电开关,可承受高达 $4times V_{DD}$的输出电压,这是低压块状 CMOS 单极刺激器所能承受的最高电压。所提出的神经刺激器可以在输出电流范围为 1~mu $ -1.2 mA 的恒流模式 (CCM) 和输出电压范围为 1-11 V 的恒压模式 (CVM) 下工作。输出波形完全可编程,包括阴极和阳极振幅和比率,其设计具有出色的电荷平衡性能,电荷失配率仅为 0.004%。
{"title":"A 12 V Compliant Multichannel Dual Mode Neural Stimulator With 0.004% Charge Mismatch and a 4×VDD Tolerant On-Chip Discharge Switch in Low-Voltage CMOS","authors":"Thanh Dat Nguyen;Alessandro Maggi;Gianluca Lazzi;Constantine Sideris","doi":"10.1109/LSSC.2024.3467341","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3467341","url":null,"abstract":"This letter presents a 12 V-compliant 4-channel neural stimulator fabricated in a low-voltage bulk CMOS process. Arrays of current memory cells are used to implement anodic and cathodic current sources to generate anodic and cathodic current ratios that are robust to process-voltage-temperature variations. A novel, fully integrated discharge switch is presented that tolerates an output voltage up to \u0000<inline-formula> <tex-math>$4times V_{DD}$ </tex-math></inline-formula>\u0000, which is the highest reported for low-voltage bulk CMOS monopolar stimulators. The proposed neural stimulator can operate in both constant current mode (CCM) with a \u0000<inline-formula> <tex-math>$1~mu $ </tex-math></inline-formula>\u0000-1.2 mA output current range and constant voltage mode (CVM) with a 1–11 V output voltage range. The output waveform is fully programmable, including cathodic and anodic amplitudes and ratios designed to have excellent charge balancing with only 0.004% charge mismatch.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-25","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142438490","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 14 nm MRAM-Based Multi-bit Analog In-Memory Computing With Process-Variation Calibration for 72 Macros-Based Accelerator 基于 14 纳米 MRAM 的多比特模拟内存计算,可对 72 个基于宏的加速器进行过程变化校准
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-23 DOI: 10.1109/LSSC.2024.3465595
Sungmeen Myung;Seok-Ju Yun;Minje Kim;Wooseok Yi;Jaehyuk Lee;Jangho An;Kyoung-Rog Lee;Chang-Woo Shin;Seungchul Jung;Soonwan Kwon
This letter presents an analog in-memory computing (IMC) macro utilizing 14 nm MRAM technology. To facilitate energy-efficient high-throughput multiply accumulate (MAC) operations, a multi-bit weight is introduced using stacked magnetic tunnel junction architecture and an analog bit-parallel MAC (ABP-MAC) scheme is proposed. This approach delivers 3.3 times better TOPS/mm2 than the state-of-the-art MRAM-based IMC macro. Additionally, a comprehensive calibration technique significantly improves computational accuracy across 72 IMC macros. The proposed IMC macro achieves 18.29 TOPS/mm2 and 340.8 TOPS/W with 1-bit normalization and classification accuracy of 90.2% with the Google speech commands dataset.
本文介绍了一种利用 14 纳米 MRAM 技术的模拟内存计算 (IMC) 宏。为了促进高能效、高吞吐量的乘法累加(MAC)操作,采用堆叠式磁隧道结架构引入了多位权重,并提出了模拟位并行 MAC(ABP-MAC)方案。与最先进的基于 MRAM 的 IMC 宏相比,这种方法的 TOPS/mm2 性能提高了 3.3 倍。此外,综合校准技术显著提高了 72 个 IMC 宏的计算精度。所提出的 IMC 宏在 1 位归一化的情况下达到了 18.29 TOPS/mm2 和 340.8 TOPS/W,在谷歌语音命令数据集上的分类准确率为 90.2%。
{"title":"A 14 nm MRAM-Based Multi-bit Analog In-Memory Computing With Process-Variation Calibration for 72 Macros-Based Accelerator","authors":"Sungmeen Myung;Seok-Ju Yun;Minje Kim;Wooseok Yi;Jaehyuk Lee;Jangho An;Kyoung-Rog Lee;Chang-Woo Shin;Seungchul Jung;Soonwan Kwon","doi":"10.1109/LSSC.2024.3465595","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3465595","url":null,"abstract":"This letter presents an analog in-memory computing (IMC) macro utilizing 14 nm MRAM technology. To facilitate energy-efficient high-throughput multiply accumulate (MAC) operations, a multi-bit weight is introduced using stacked magnetic tunnel junction architecture and an analog bit-parallel MAC (ABP-MAC) scheme is proposed. This approach delivers 3.3 times better TOPS/mm2 than the state-of-the-art MRAM-based IMC macro. Additionally, a comprehensive calibration technique significantly improves computational accuracy across 72 IMC macros. The proposed IMC macro achieves 18.29 TOPS/mm2 and 340.8 TOPS/W with 1-bit normalization and classification accuracy of 90.2% with the Google speech commands dataset.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-23","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142430726","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
S2D-CIM: SRAM-Based Systolic Digital Compute-in-Memory Framework With Domino Data Path Supporting Flexible Vector Operation and 2-D Weight Update S2D-CIM:基于 SRAM 的收缩式内存数字计算框架,采用多米诺数据路径,支持灵活的矢量操作和二维权重更新
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-19 DOI: 10.1109/LSSC.2024.3463697
Meng Wu;Wenjie Ren;Peiyu Chen;Wentao Zhao;Tianyu Jia;Le Ye
In this letter, we propose an SRAM-based systolic digital compute-in-memory (S2D-CIM) framework which enables flexible input dataflow and mapping strategy to enhance the effective energy efficiency (EE), area efficiency, and writing bandwidth for practical CIM with innovations: 1) multistage domino data path (DDP); 2) a configurable asynchronous timing scheme; and 3) a 2-D burst writing scheme. The proposed S2D-CIM is fabricated using TSMC 22-nm technology and achieves 9.19 and 24.4 TOPS/W peak EE in systolic mode and broadcast mode, respectively, at full precision of 8-bit input, 8-bit weight, and 21-bit output. Compared with state of the arts, it achieves $1.67times $ effective EE improvement. Thanks to reusing introduced DDP, fast 2-D weight update is realized and gains 1.187 Tb/s writing bandwidth, which is $14.3times $ better than that of normal SRAM macro with the same capacity.
在这封信中,我们提出了一种基于 SRAM 的收缩式数字内存计算(S2D-CIM)框架,该框架支持灵活的输入数据流和映射策略,通过创新提高了实用 CIM 的有效能效(EE)、面积效率和写入带宽:1) 多级多米诺数据路径 (DDP);2) 可配置异步定时方案;3) 2-D 突发写入方案。所提出的 S2D-CIM 采用台积电 22 纳米技术制造,在 8 位输入、8 位加权和 21 位输出的全精度条件下,在收缩模式和广播模式下分别实现了 9.19 和 24.4 TOPS/W 峰值 EE。与现有技术相比,它实现了 1.67 美元/次的有效 EE 改进。由于重复使用了引入的 DDP,实现了快速 2-D 权重更新,并获得了 1.187 Tb/s 的写带宽,与相同容量的普通 SRAM 宏相比,提高了 14.3 倍。
{"title":"S2D-CIM: SRAM-Based Systolic Digital Compute-in-Memory Framework With Domino Data Path Supporting Flexible Vector Operation and 2-D Weight Update","authors":"Meng Wu;Wenjie Ren;Peiyu Chen;Wentao Zhao;Tianyu Jia;Le Ye","doi":"10.1109/LSSC.2024.3463697","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3463697","url":null,"abstract":"In this letter, we propose an SRAM-based systolic digital compute-in-memory (S2D-CIM) framework which enables flexible input dataflow and mapping strategy to enhance the effective energy efficiency (EE), area efficiency, and writing bandwidth for practical CIM with innovations: 1) multistage domino data path (DDP); 2) a configurable asynchronous timing scheme; and 3) a 2-D burst writing scheme. The proposed S2D-CIM is fabricated using TSMC 22-nm technology and achieves 9.19 and 24.4 TOPS/W peak EE in systolic mode and broadcast mode, respectively, at full precision of 8-bit input, 8-bit weight, and 21-bit output. Compared with state of the arts, it achieves \u0000<inline-formula> <tex-math>$1.67times $ </tex-math></inline-formula>\u0000 effective EE improvement. Thanks to reusing introduced DDP, fast 2-D weight update is realized and gains 1.187 Tb/s writing bandwidth, which is \u0000<inline-formula> <tex-math>$14.3times $ </tex-math></inline-formula>\u0000 better than that of normal SRAM macro with the same capacity.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142438512","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Reconfigurable Floating-Point Compute-in-Memory With Analog Exponent Preprocesses 带模拟指数预处理的可重构浮点内存计算器
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-18 DOI: 10.1109/LSSC.2024.3463208
Pengyu He;Yuanzhe Zhao;Heng Xie;Yang Wang;Shouyi Yin;Li Li;Yan Zhu;Rui P. Martins;Chi-Hang Chan;Minglei Zhang
This letter presents a reconfigurable floating-point compute-in-memory (FP-CIM) macro that preprocesses the exponent in the analog domain, enhancing the energy efficiency of edge devices for the floating-point (FP) inference. The presented FP-CIM macro supports FP8 inference, while can be configured to BP16 precision in a segmented computation manner. Furthermore, a time-domain analog-to-digital converter facilitates the analog compute-in-memory (CIM) macro while improving energy efficiency by sharing the counter and quantizing in a coarse-fine structure. Fabricated in a 28-nm CMOS process, the presented FP-CIM macro achieves 314.6-TFLOPS/W energy efficiency and 12.13-TFLOPS/mm2 area efficiency at the FP8 mode.
本文介绍了一种可重新配置的浮点内存计算(FP-CIM)宏,它能在模拟域中对指数进行预处理,从而提高边缘设备在浮点(FP)推理方面的能效。所介绍的 FP-CIM 宏支持 FP8 推理,同时可通过分段计算方式配置为 BP16 精度。此外,时域模数转换器促进了模拟内存计算(CIM)宏,同时通过共享计数器和粗细结构量化提高了能效。采用 28 纳米 CMOS 工艺制造的 FP-CIM 宏在 FP8 模式下实现了 314.6-TFLOPS/W 的能效和 12.13-TFLOPS/mm2 的面积效率。
{"title":"A Reconfigurable Floating-Point Compute-in-Memory With Analog Exponent Preprocesses","authors":"Pengyu He;Yuanzhe Zhao;Heng Xie;Yang Wang;Shouyi Yin;Li Li;Yan Zhu;Rui P. Martins;Chi-Hang Chan;Minglei Zhang","doi":"10.1109/LSSC.2024.3463208","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3463208","url":null,"abstract":"This letter presents a reconfigurable floating-point compute-in-memory (FP-CIM) macro that preprocesses the exponent in the analog domain, enhancing the energy efficiency of edge devices for the floating-point (FP) inference. The presented FP-CIM macro supports FP8 inference, while can be configured to BP16 precision in a segmented computation manner. Furthermore, a time-domain analog-to-digital converter facilitates the analog compute-in-memory (CIM) macro while improving energy efficiency by sharing the counter and quantizing in a coarse-fine structure. Fabricated in a 28-nm CMOS process, the presented FP-CIM macro achieves 314.6-TFLOPS/W energy efficiency and 12.13-TFLOPS/mm2 area efficiency at the FP8 mode.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142438608","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Fully Integrated Dynamic-Voltage-Scaling Stimulator IC for Cochlear Implants 用于人工耳蜗的全集成动态电压缩放刺激器集成电路
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-17 DOI: 10.1109/LSSC.2024.3462559
Kim-Hoang Nguyen;Quyet Nguyen;Quynh-Trang Nguyen;Thanh-Tung Vu;Woojin Ahn;Loan Pham-Nguyen;Hanh-Phuc Le;Minkyu Je
A fully integrated dynamic-voltage-scaling stimulator IC, consisting of a novel reconfigurable supply modulator (RSM) and 12 high-voltage-tolerant channel drivers, for cochlear implants, is presented, utilizing a 180-nm standard CMOS process. The RSM is designed to adaptively generate one of four supply voltage levels ranging from 2.6 to 11.3 V, effectively stimulating the cochlea with varying electrode-tissue-interface impedance and stimulus currents while offering improved power efficiency. The channel driver design is miniaturized to support high-channel-count applications within a single IC. Additional excessive current protection is implemented to ensure charge balancing between biphasic stimulating pulses, complementing the electrode-shorting technique.
本文介绍了一种全集成动态电压缩放刺激器集成电路,包括一个新颖的可重新配置电源调制器(RSM)和 12 个高耐压通道驱动器,用于人工耳蜗植入,采用 180 纳米标准 CMOS 工艺。RSM 设计用于自适应生成 2.6 至 11.3 V 四种电源电压电平中的一种,从而有效地以不同的电极-组织-界面阻抗和刺激电流刺激耳蜗,同时提高电源效率。通道驱动器设计实现了小型化,可在单个集成电路内支持高通道数应用。此外,还采用了额外的过流保护功能,以确保双相刺激脉冲之间的电荷平衡,从而对电极短路技术起到补充作用。
{"title":"A Fully Integrated Dynamic-Voltage-Scaling Stimulator IC for Cochlear Implants","authors":"Kim-Hoang Nguyen;Quyet Nguyen;Quynh-Trang Nguyen;Thanh-Tung Vu;Woojin Ahn;Loan Pham-Nguyen;Hanh-Phuc Le;Minkyu Je","doi":"10.1109/LSSC.2024.3462559","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3462559","url":null,"abstract":"A fully integrated dynamic-voltage-scaling stimulator IC, consisting of a novel reconfigurable supply modulator (RSM) and 12 high-voltage-tolerant channel drivers, for cochlear implants, is presented, utilizing a 180-nm standard CMOS process. The RSM is designed to adaptively generate one of four supply voltage levels ranging from 2.6 to 11.3 V, effectively stimulating the cochlea with varying electrode-tissue-interface impedance and stimulus currents while offering improved power efficiency. The channel driver design is miniaturized to support high-channel-count applications within a single IC. Additional excessive current protection is implemented to ensure charge balancing between biphasic stimulating pulses, complementing the electrode-shorting technique.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142438511","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A Computational Digital LDO With Distributed Power-Gating Switches and Time-Based Fast-Transient Controller for Mobile SoC Application 面向移动 SoC 应用的带分布式电源门开关和基于时间的快速瞬态控制器的计算型数字 LDO
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-16 DOI: 10.1109/LSSC.2024.3461158
Dongha Lee;Seki Kim;Takahiro Nomiyama;Dong-Hoon Jung;Dongsu Kim;Jongwoo Lee
This letter introduces a 10 A computational digital LDO (CDLDO) for mobile SoC application specifically targeting a big CPU core. The proposed CDLDO eliminates the power-FET area overhead by reusing power gating switches (PGSs) already distributed throughout the entire CPU. The CDLDO employs a time-based exponential control (TEC) with a slope detector to achieve fast-transient response and improve stability. Furthermore, a step-back and a negative-step control are introduced to mitigate the effect of the propagation delay between the controller and the PGSs. Additionally, a pre-computational scheme significantly reduces calculation time and relaxes timing constraints during synthesis. The proposed CDLDO is implemented in 3 nm GAAFET CMOS process. An implemented IC of eight distributed CDLDO units provides a maximum load current of 10 A with a current density of 263 A/mm2. The CDLDO shows 94 mV droop under 6.5 A/1 ns load transition.
这封信介绍了一种适用于移动 SoC 应用的 10 A 计算数字 LDO(CDLDO),专门针对大型 CPU 内核。所提出的 CDLDO 通过重复使用分布在整个 CPU 中的功率门控开关 (PGS),消除了功率场效应晶体管的面积开销。CDLDO 采用带有斜率检测器的时基指数控制 (TEC),以实现快速瞬态响应并提高稳定性。此外,还引入了退步和负步控制,以减轻控制器和 PGS 之间传播延迟的影响。此外,预计算方案大大减少了计算时间,并放宽了合成过程中的时序限制。所提出的 CDLDO 采用 3 nm GAAFET CMOS 工艺实现。由八个分布式 CDLDO 单元组成的集成电路可提供 10 A 的最大负载电流,电流密度为 263 A/mm2。CDLDO 在 6.5 A/1 ns 负载转换下显示出 94 mV 下降。
{"title":"A Computational Digital LDO With Distributed Power-Gating Switches and Time-Based Fast-Transient Controller for Mobile SoC Application","authors":"Dongha Lee;Seki Kim;Takahiro Nomiyama;Dong-Hoon Jung;Dongsu Kim;Jongwoo Lee","doi":"10.1109/LSSC.2024.3461158","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3461158","url":null,"abstract":"This letter introduces a 10 A computational digital LDO (CDLDO) for mobile SoC application specifically targeting a big CPU core. The proposed CDLDO eliminates the power-FET area overhead by reusing power gating switches (PGSs) already distributed throughout the entire CPU. The CDLDO employs a time-based exponential control (TEC) with a slope detector to achieve fast-transient response and improve stability. Furthermore, a step-back and a negative-step control are introduced to mitigate the effect of the propagation delay between the controller and the PGSs. Additionally, a pre-computational scheme significantly reduces calculation time and relaxes timing constraints during synthesis. The proposed CDLDO is implemented in 3 nm GAAFET CMOS process. An implemented IC of eight distributed CDLDO units provides a maximum load current of 10 A with a current density of 263 A/mm2. The CDLDO shows 94 mV droop under 6.5 A/1 ns load transition.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142438609","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An X-Band Expandable Reconfigurable 1:2 Power Divider Switch for Switched Beam-Forming Networks in 0.10-µm GaAs Process 0.10µm GaAs 工艺中用于交换式波束成形网络的 X 波段可扩展可重构 1:2 功率分配器开关
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-11 DOI: 10.1109/LSSC.2024.3458453
Yicheng Wang;Zhaowu Wang;Zhenyu Wang;Xiaochen Tang;Yong Wang
In this letter, an X-band expandable reconfigurable 1:2 power divider switch (PDSW) is proposed for switched beam-forming networks. A switched inductor-artificial transmission line (SI-ATL) is proposed. With proper switch logic, the SI-ATL features two types of transmission line (TL): 1) a $lambda $ /4 TL of $50sqrt {2} ; Omega $ and 2) a TL of $50 ; Omega $ . This enables the PDSW to realize three port states of two corresponding modes, including single-pole–double-throw (SPDT) mode and power divider (PD) mode. The PDSW has the ability to be expanded to an N-stage 1: $2^{N}$ matrix with $2^{2^{N}} - 1$ states. The proposed design is fabricated with a 0.10- $mu $ m GaAs pHEMT process. The measurement results show a $leq 1$ .2-dB insertion loss (IL), a $geq $ 10-dB return loss (RL), and a $geq 40$ -dBm input 3rd-order intercept points (IIP3), in both modes. The isolation is 27–32 dB for SPDT mode and 14–31 dB for PD mode.
在这封信中,我们提出了一种用于交换式波束形成网络的 X 波段可扩展可重构 1:2 功率分配器开关 (PDSW)。文中提出了一种开关电感-人工传输线(SI-ATL)。通过适当的开关逻辑,SI-ATL具有两种类型的传输线(TL):1)$50sqrt {2} 的$lambda $ /4 TL;2)$50sqrt {2} 的$Omega $ /4 TL。这使得 PDSW 能够实现两种相应模式的三种端口状态,包括单刀双掷 (SPDT) 模式和功率分配器 (PD) 模式。PDSW 能够扩展为具有 2^{2^{N}} 1$ 状态的 N 级 1: $2^{N}$ 矩阵。- 1$ 状态的矩阵。所提出的设计采用 0.10- $mu $ m GaAs pHEMT 工艺制造。测量结果显示,在两种模式下,插入损耗(IL)为 1.2 分贝,回波损耗(RL)为 10 分贝,输入三阶截取点(IIP3)为 40 分贝。SPDT 模式的隔离度为 27-32 dB,PD 模式的隔离度为 14-31 dB。
{"title":"An X-Band Expandable Reconfigurable 1:2 Power Divider Switch for Switched Beam-Forming Networks in 0.10-µm GaAs Process","authors":"Yicheng Wang;Zhaowu Wang;Zhenyu Wang;Xiaochen Tang;Yong Wang","doi":"10.1109/LSSC.2024.3458453","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3458453","url":null,"abstract":"In this letter, an X-band expandable reconfigurable 1:2 power divider switch (PDSW) is proposed for switched beam-forming networks. A switched inductor-artificial transmission line (SI-ATL) is proposed. With proper switch logic, the SI-ATL features two types of transmission line (TL): 1) a \u0000<inline-formula> <tex-math>$lambda $ </tex-math></inline-formula>\u0000/4 TL of \u0000<inline-formula> <tex-math>$50sqrt {2} ; Omega $ </tex-math></inline-formula>\u0000 and 2) a TL of \u0000<inline-formula> <tex-math>$50 ; Omega $ </tex-math></inline-formula>\u0000. This enables the PDSW to realize three port states of two corresponding modes, including single-pole–double-throw (SPDT) mode and power divider (PD) mode. The PDSW has the ability to be expanded to an N-stage 1:\u0000<inline-formula> <tex-math>$2^{N}$ </tex-math></inline-formula>\u0000 matrix with \u0000<inline-formula> <tex-math>$2^{2^{N}} - 1$ </tex-math></inline-formula>\u0000 states. The proposed design is fabricated with a 0.10-\u0000<inline-formula> <tex-math>$mu $ </tex-math></inline-formula>\u0000m GaAs pHEMT process. The measurement results show a \u0000<inline-formula> <tex-math>$leq 1$ </tex-math></inline-formula>\u0000.2-dB insertion loss (IL), a \u0000<inline-formula> <tex-math>$geq $ </tex-math></inline-formula>\u0000 10-dB return loss (RL), and a \u0000<inline-formula> <tex-math>$geq 40$ </tex-math></inline-formula>\u0000-dBm input 3rd-order intercept points (IIP3), in both modes. The isolation is 27–32 dB for SPDT mode and 14–31 dB for PD mode.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142430743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 112-Gb/s, -10 dBm Sensitivity, +5 dBm Overload, and SiPh-Based Receiver Frontend in 22-nm FDSOI 基于 SiPh 的 112 Gb/s、-10 dBm 灵敏度、+5 dBm 过载接收器前端,采用 22-nm FDSOI 封装
IF 2.2 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-09-11 DOI: 10.1109/LSSC.2024.3457775
Mahdi Parvizi;Bahar Jalali;Toshi Omori;John Rogers;Li Chen;Long Chen;Ricardo Aroca
This letter demonstrates a Si-Photonic (SiPh)-based 112 Gb/s PAM4 optical receiver frontend using novel single-ended transimpedance amplifier (TIA) architecture that achieves −10 and +5 dBm input optical modulation amplitude (OMA) sensitivity and overload, respectively. To achieve that an overload mitigation circuit is proposed to break the tradeoff between noise and linearity of the shunt feedback CMOS TIAs. The TIA is optimized to provide the best sensitivity and linearity performance at minimum and maximum input OMA, respectively. Implemented in 22-nm FDSOI technology, and designed for 112 Gb/s PAM4 optical links, the TIA achieves more than +15 dBm OMA range with 11 pA/ $surd $ Hz input referred noise while burning only 155 mW from an 1.8-V supply.
本文展示了一种基于硅光子(SiPh)的 112 Gb/s PAM4 光接收器前端,它采用新型单端跨阻抗放大器(TIA)架构,可分别实现 -10 和 +5 dBm 输入光调制幅度(OMA)灵敏度和过载。为实现这一目标,提出了一种过载缓解电路,以打破并联反馈 CMOS TIA 噪声和线性度之间的平衡。该 TIA 经过优化,可分别在最小和最大输入 OMA 条件下提供最佳灵敏度和线性度性能。该 TIA 采用 22-nm FDSOI 技术实现,专为 112 Gb/s PAM4 光链路而设计,实现了超过 +15 dBm 的 OMA 范围,输入参考噪声为 11 pA/ $surd $Hz,而 1.8 V 电源的功耗仅为 155 mW。
{"title":"A 112-Gb/s, -10 dBm Sensitivity, +5 dBm Overload, and SiPh-Based Receiver Frontend in 22-nm FDSOI","authors":"Mahdi Parvizi;Bahar Jalali;Toshi Omori;John Rogers;Li Chen;Long Chen;Ricardo Aroca","doi":"10.1109/LSSC.2024.3457775","DOIUrl":"https://doi.org/10.1109/LSSC.2024.3457775","url":null,"abstract":"This letter demonstrates a Si-Photonic (SiPh)-based 112 Gb/s PAM4 optical receiver frontend using novel single-ended transimpedance amplifier (TIA) architecture that achieves −10 and +5 dBm input optical modulation amplitude (OMA) sensitivity and overload, respectively. To achieve that an overload mitigation circuit is proposed to break the tradeoff between noise and linearity of the shunt feedback CMOS TIAs. The TIA is optimized to provide the best sensitivity and linearity performance at minimum and maximum input OMA, respectively. Implemented in 22-nm FDSOI technology, and designed for 112 Gb/s PAM4 optical links, the TIA achieves more than +15 dBm OMA range with 11 pA/\u0000<inline-formula> <tex-math>$surd $ </tex-math></inline-formula>\u0000Hz input referred noise while burning only 155 mW from an 1.8-V supply.","PeriodicalId":13032,"journal":{"name":"IEEE Solid-State Circuits Letters","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-09-11","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142276431","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
IEEE Solid-State Circuits Letters
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1