首页 > 最新文献

21st International Conference on VLSI Design (VLSID 2008)最新文献

英文 中文
A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters 一种包含积和和移位的快速算术块的合并合成技术
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.112
Sabyasachi Das, S. Khatri
In modern digital signal processing (DSP) and graphics applications, the arithmetic sum-of-products, shifters and adders are important modules, contributing a significant amount to the overall delay of the system. A datapath structure consisting of multiple arithmetic sum-of-product, shifter and adder blocks is often found in the timing-critical path of the chip. In this paper, we propose a new operator-level merging technique to synthesize this type of datapath structure. In our approach, we combine the shifting operation with the partial product reduction stage of the sum-of-product blocks. This enables us to implement the functionality of the original design by using only one carry- propagate adder block (instead of two carry-propagate adders). As a result, the timing-critical path of the design gets shortened by a significant percentage and the overall performance of the design improves. Our experimental data shows that the datapath block generated by our approach is significantly faster (13.28% on average) with a modest area penalty (3.24% on average) than the corresponding block generated by a commercially available best-in-class datapath synthesis tool. These improvements were verified on placed-and-routed designs as well.
在现代数字信号处理(DSP)和图形应用中,算术积和、移位器和加法器是重要的模块,它们对系统的整体延迟有很大的影响。在芯片的时序关键路径中,经常发现由多个算术积和、移位器和加法器块组成的数据路径结构。在本文中,我们提出了一种新的算子级合并技术来综合这类数据路径结构。在我们的方法中,我们将移位操作与积和块的部分积约简阶段结合起来。这使我们能够通过只使用一个进位传播加法器块(而不是两个进位传播加法器)来实现原始设计的功能。从而大大缩短了设计的时间关键路径,提高了设计的整体性能。我们的实验数据表明,与商业上最好的数据路径合成工具生成的相应块相比,我们的方法生成的数据路径块明显更快(平均13.28%),面积损失较小(平均3.24%)。这些改进也在放置和路由设计上得到了验证。
{"title":"A Merged Synthesis Technique for Fast Arithmetic Blocks Involving Sum-of-Products and Shifters","authors":"Sabyasachi Das, S. Khatri","doi":"10.1109/VLSI.2008.112","DOIUrl":"https://doi.org/10.1109/VLSI.2008.112","url":null,"abstract":"In modern digital signal processing (DSP) and graphics applications, the arithmetic sum-of-products, shifters and adders are important modules, contributing a significant amount to the overall delay of the system. A datapath structure consisting of multiple arithmetic sum-of-product, shifter and adder blocks is often found in the timing-critical path of the chip. In this paper, we propose a new operator-level merging technique to synthesize this type of datapath structure. In our approach, we combine the shifting operation with the partial product reduction stage of the sum-of-product blocks. This enables us to implement the functionality of the original design by using only one carry- propagate adder block (instead of two carry-propagate adders). As a result, the timing-critical path of the design gets shortened by a significant percentage and the overall performance of the design improves. Our experimental data shows that the datapath block generated by our approach is significantly faster (13.28% on average) with a modest area penalty (3.24% on average) than the corresponding block generated by a commercially available best-in-class datapath synthesis tool. These improvements were verified on placed-and-routed designs as well.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129646298","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
On-Chip Process Variation Detection Using Slew-Rate Monitoring Circuit 采用回转速率监测电路的片上工艺变化检测
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.67
Amlan Ghosh, R. Rao, Jae-Joon Kim, C. Chuang, Richard B. Brown
The need for efficient and accurate detection schemes to mitigate the impact of process variations on the parametric yield of integrated circuits has increased in the nm design era. In this paper, a new variation detection technique is presented that uses slew as a metric along with delay to determine the mismatch between the drive strengths of NMOS and PMOS devices. The importance of considering both of these metrics is illustrated and a new slew-rate monitoring circuit is presented for measuring slew of a signal from the critical path of a circuit. Design considerations, simulation results and characteristics of the slew-rate monitor circuitry in a 45 nm SOI technology are presented, and a sensitivity of 1 MHz/ps is achieved. This scheme can detect the threshold voltage variation in the order of mV, with a sensitivity of 0.95 MHz/mV.
在纳米设计时代,对有效和准确的检测方案的需求增加了,以减轻工艺变化对集成电路参数良率的影响。本文提出了一种新的变化检测技术,该技术将转动与延迟作为度量来确定NMOS器件和PMOS器件的驱动强度之间的不匹配。说明了考虑这两个指标的重要性,并提出了一种新的慢速监测电路,用于测量电路关键路径信号的摆幅。介绍了45 nm SOI技术下的慢速监测电路的设计思想、仿真结果和特性,实现了1 MHz/ps的灵敏度。该方案可以检测到mV量级的阈值电压变化,灵敏度为0.95 MHz/mV。
{"title":"On-Chip Process Variation Detection Using Slew-Rate Monitoring Circuit","authors":"Amlan Ghosh, R. Rao, Jae-Joon Kim, C. Chuang, Richard B. Brown","doi":"10.1109/VLSI.2008.67","DOIUrl":"https://doi.org/10.1109/VLSI.2008.67","url":null,"abstract":"The need for efficient and accurate detection schemes to mitigate the impact of process variations on the parametric yield of integrated circuits has increased in the nm design era. In this paper, a new variation detection technique is presented that uses slew as a metric along with delay to determine the mismatch between the drive strengths of NMOS and PMOS devices. The importance of considering both of these metrics is illustrated and a new slew-rate monitoring circuit is presented for measuring slew of a signal from the critical path of a circuit. Design considerations, simulation results and characteristics of the slew-rate monitor circuitry in a 45 nm SOI technology are presented, and a sensitivity of 1 MHz/ps is achieved. This scheme can detect the threshold voltage variation in the order of mV, with a sensitivity of 0.95 MHz/mV.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127669664","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 29
A Robust Level-Shifter Design for Adaptive Voltage Scaling 一种自适应电压标度的鲁棒移电平器设计
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.61
Ankur Gupta, Rajat Chauhan, V. Menezes, V. Narang, H. M. Roopashree
Voltage scaling is one of the knobs that is used today to control both static and the active power for SoCs. The SoC core supply voltage is scaled adaptively based on the performance needs. But it is also required to maintain the external electrical chip interface protocol, which may run at a different voltage level. The chip interfaces need to operate reliably under adaptively scaling core voltage and fixed 10 supply voltage. Within the 10 circuits, voltage level shifters are used to communicate between two voltage domains. This paper examines the performance of a conventional voltage level shifter and describes a novel high performance level shifter that is more robust under adapting voltage scaling.
电压缩放是当今用于控制soc的静态和有功功率的旋钮之一。SoC核心电源电压可根据性能需求自适应缩放。但它也需要维护外部电子芯片接口协议,该协议可能在不同的电压水平下运行。芯片接口需要在自适应缩放核心电压和固定10电源电压下可靠地工作。在10个电路中,电压电平移位器用于在两个电压域之间进行通信。本文分析了传统电压移电平器的性能,介绍了一种新型的高性能移电平器,该移电平器在自适应电压标度下具有更强的鲁棒性。
{"title":"A Robust Level-Shifter Design for Adaptive Voltage Scaling","authors":"Ankur Gupta, Rajat Chauhan, V. Menezes, V. Narang, H. M. Roopashree","doi":"10.1109/VLSI.2008.61","DOIUrl":"https://doi.org/10.1109/VLSI.2008.61","url":null,"abstract":"Voltage scaling is one of the knobs that is used today to control both static and the active power for SoCs. The SoC core supply voltage is scaled adaptively based on the performance needs. But it is also required to maintain the external electrical chip interface protocol, which may run at a different voltage level. The chip interfaces need to operate reliably under adaptively scaling core voltage and fixed 10 supply voltage. Within the 10 circuits, voltage level shifters are used to communicate between two voltage domains. This paper examines the performance of a conventional voltage level shifter and describes a novel high performance level shifter that is more robust under adapting voltage scaling.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131478293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Low Power Hardware Architecture for VBSME Using Pixel Truncation 使用像素截断的VBSME低功耗硬件架构
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.100
A. Bahari, T. Arslan, A. Erdogan
This paper presents an efficient architecture to implement low power variable block size motion estimation (VBSME) using full search. Power reduction is achieved by performing the search in two steps: low pixel resolution and full pixel resolution. We analysed the computation and memory units needed to support these two search modes. The proposed architecture reduces the total energy consumption by 50% with 6% additional area compared to the conventional architecture.
本文提出了一种利用全搜索实现低功耗可变块大小运动估计的有效架构。通过分两步执行搜索来实现功耗降低:低像素分辨率和全像素分辨率。我们分析了支持这两种搜索模式所需的计算和存储单元。与传统建筑相比,拟议的建筑减少了50%的总能耗,增加了6%的面积。
{"title":"Low Power Hardware Architecture for VBSME Using Pixel Truncation","authors":"A. Bahari, T. Arslan, A. Erdogan","doi":"10.1109/VLSI.2008.100","DOIUrl":"https://doi.org/10.1109/VLSI.2008.100","url":null,"abstract":"This paper presents an efficient architecture to implement low power variable block size motion estimation (VBSME) using full search. Power reduction is achieved by performing the search in two steps: low pixel resolution and full pixel resolution. We analysed the computation and memory units needed to support these two search modes. The proposed architecture reduces the total energy consumption by 50% with 6% additional area compared to the conventional architecture.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115644103","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
MPSoC Communication Architecture Exploration Using an Abstraction Refinement Method 基于抽象细化方法的MPSoC通信架构探索
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.64
Hao Shen, F. Pétrot
The complexity of today's Multi-Processors System-on-Chip (MPSoC) requires new design methodologies to solve time-to-market and design cost problems. In SoC for which several subsystems are connected together, we notice that lots of design time is wasted on solving the inter-subsystem (global) communication problem. In this paper, we propose a novel communication exploration method based on a multi-abstraction levels exploration. With this work, the inter-subsystem communication structure can be optimized at the beginning of the design process by using simulation models at three different abstraction levels. The simulation at the higher abstraction level allows designers to explore parameters of the interconnection model at the more detailed abstraction level. Some design loop cases can be avoided by using this exploration method. With the Motion-JPEG case study, we illustrate the whole communication exploration process step by step. From experimental results, we show that compared with the cycle accurate simulation, the inter-subsystem communication can be well optimized and evaluated at higher abstraction levels.
当今多处理器片上系统(MPSoC)的复杂性需要新的设计方法来解决上市时间和设计成本问题。在多个子系统连接在一起的SoC中,我们注意到在解决子系统间(全局)通信问题上浪费了大量的设计时间。本文提出了一种基于多抽象层次探索的通信探索方法。有了这项工作,子系统间的通信结构可以在设计过程开始时通过在三个不同的抽象层次上使用仿真模型来优化。在更高抽象层次上的仿真允许设计者在更详细的抽象层次上探索互连模型的参数。使用这种探索方法可以避免一些设计环路的情况。通过Motion-JPEG的案例研究,我们一步一步地说明了整个通信探索过程。实验结果表明,与周期精确仿真相比,子系统间通信可以在更高的抽象层次上进行优化和评估。
{"title":"MPSoC Communication Architecture Exploration Using an Abstraction Refinement Method","authors":"Hao Shen, F. Pétrot","doi":"10.1109/VLSI.2008.64","DOIUrl":"https://doi.org/10.1109/VLSI.2008.64","url":null,"abstract":"The complexity of today's Multi-Processors System-on-Chip (MPSoC) requires new design methodologies to solve time-to-market and design cost problems. In SoC for which several subsystems are connected together, we notice that lots of design time is wasted on solving the inter-subsystem (global) communication problem. In this paper, we propose a novel communication exploration method based on a multi-abstraction levels exploration. With this work, the inter-subsystem communication structure can be optimized at the beginning of the design process by using simulation models at three different abstraction levels. The simulation at the higher abstraction level allows designers to explore parameters of the interconnection model at the more detailed abstraction level. Some design loop cases can be avoided by using this exploration method. With the Motion-JPEG case study, we illustrate the whole communication exploration process step by step. From experimental results, we show that compared with the cycle accurate simulation, the inter-subsystem communication can be well optimized and evaluated at higher abstraction levels.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115735898","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Unified Vdd Vth Optimization Based DVFM Controller for a Logic Block 基于统一Vdd Vth优化的逻辑块DVFM控制器
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.69
S. Kannan, N. S. Sreeram, B. Amrutur
In this paper analytical expressions for optimal Vdd and Vth to minimize energy for a given speed constraint are derived. These expressions are based on the EKV model for transistors and are valid in both strong inversion and sub threshold regions. The effect of gate leakage on the optimal Vdd and Vth is analyzed. A new gradient based algorithm for controlling Vdd and Vth based on delay and power monitoring results is proposed. A Vdd-Vth controller which uses the algorithm to dynamically control the supply and threshold voltage of a representative logic block (sum of absolute difference computation of an MPEG decoder) is designed. Simulation results using 65 nm predictive technology models are given.
本文导出了给定速度约束下能量最小的最优Vdd和Vth的解析表达式。这些表达式基于晶体管的EKV模型,在强反转和亚阈值区域都有效。分析了栅极泄漏对最优Vdd和Vth的影响。提出了一种基于时延和功率监测结果的梯度控制Vdd和Vth的新算法。设计了一个Vdd-Vth控制器,利用该算法对典型逻辑块(MPEG解码器的绝对差分计算和)的电源和阈值电压进行动态控制。给出了采用65nm预测技术模型的仿真结果。
{"title":"Unified Vdd Vth Optimization Based DVFM Controller for a Logic Block","authors":"S. Kannan, N. S. Sreeram, B. Amrutur","doi":"10.1109/VLSI.2008.69","DOIUrl":"https://doi.org/10.1109/VLSI.2008.69","url":null,"abstract":"In this paper analytical expressions for optimal V<sub>dd</sub> and V<sub>th</sub> to minimize energy for a given speed constraint are derived. These expressions are based on the EKV model for transistors and are valid in both strong inversion and sub threshold regions. The effect of gate leakage on the optimal V<sub>dd</sub> and V<sub>th</sub> is analyzed. A new gradient based algorithm for controlling V<sub>dd</sub> and V<sub>th</sub> based on delay and power monitoring results is proposed. A V<sub>dd</sub>-V<sub>th</sub> controller which uses the algorithm to dynamically control the supply and threshold voltage of a representative logic block (sum of absolute difference computation of an MPEG decoder) is designed. Simulation results using 65 nm predictive technology models are given.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115355520","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
0.35µ, 1 GHz, CMOS Timing Generator Using Array of Digital Delay Lock Loops 0.35µ,1 GHz,采用数字延时锁环阵列的CMOS时序发生器
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.95
B. Srinivasan, V. Chandratre, Menka Tewani
This paper describes the architecture and performance of a 0.35 mu, 1 GHZ, CMOS timing generator using array of delay lock loop. The timing generator is implemented as an array of delay locked loops. This architecture enables a timing generator with sub gate delay resolution to be implemented. The proposed delay lock loops uses novel multiplexer based dual phase and frequency detector along with a charge pump where the injected charge approaches zero as the loop approaches lock on the leading edge and the trailing edge of an input clock reference. This greatly reduces the timing jitter, loop locks to both the leading and trailing clock edges as the dual phase and frequency detector along with charge pump converts the phase difference in to voltages. Test results show a timing jitter of less than 20 pS for the DLL (delay lock loop) circuit .The DLL has a dead zone less than 0.01 nS in the phase characteristics and has low phase sensitivity errors. The timing generator is implemented as an array of delay locked loops (Kostamovaara, 2000) which exponentially reduce the locking time. An experimental proto type was simulated at 0.7 mu and 0.35 mu technologies with a supply voltage of 5 V and 3.3 V respectively.
本文介绍了一种0.35亩、1 GHZ CMOS延时锁相环阵列定时发生器的结构和性能。时序发生器被实现为一组延迟锁定环路。该架构使具有子门延迟分辨率的时序发生器得以实现。所提出的延时锁环采用一种新型的基于多路复用器的双相位和频率检测器以及电荷泵,当环路接近输入时钟基准的前后边缘锁定时,注入的电荷趋于零。这大大减少了时序抖动,当双相位和频率检测器以及电荷泵将相位差转换为电压时,环路锁定到前后时钟边缘。测试结果表明,延时锁相电路的时序抖动小于20ps,相位特性死区小于0.01 nS,相敏误差较小。时序发生器被实现为一组延迟锁定环路(Kostamovaara, 2000),以指数方式减少锁定时间。实验样机分别在0.7亩和0.35亩的技术条件下,在5 V和3.3 V的电源电压下进行模拟。
{"title":"0.35µ, 1 GHz, CMOS Timing Generator Using Array of Digital Delay Lock Loops","authors":"B. Srinivasan, V. Chandratre, Menka Tewani","doi":"10.1109/VLSI.2008.95","DOIUrl":"https://doi.org/10.1109/VLSI.2008.95","url":null,"abstract":"This paper describes the architecture and performance of a 0.35 mu, 1 GHZ, CMOS timing generator using array of delay lock loop. The timing generator is implemented as an array of delay locked loops. This architecture enables a timing generator with sub gate delay resolution to be implemented. The proposed delay lock loops uses novel multiplexer based dual phase and frequency detector along with a charge pump where the injected charge approaches zero as the loop approaches lock on the leading edge and the trailing edge of an input clock reference. This greatly reduces the timing jitter, loop locks to both the leading and trailing clock edges as the dual phase and frequency detector along with charge pump converts the phase difference in to voltages. Test results show a timing jitter of less than 20 pS for the DLL (delay lock loop) circuit .The DLL has a dead zone less than 0.01 nS in the phase characteristics and has low phase sensitivity errors. The timing generator is implemented as an array of delay locked loops (Kostamovaara, 2000) which exponentially reduce the locking time. An experimental proto type was simulated at 0.7 mu and 0.35 mu technologies with a supply voltage of 5 V and 3.3 V respectively.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116605238","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Memory Yield Improvement through Multiple Test Sequences and Application-Aware Fault Models 通过多测试序列和应用感知故障模型提高内存成品率
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.115
A. Kokrady, C. Ravikumar, N. Chandrachoodan
In this paper, we propose a way to improve the yield of memory products by selecting the appropriate test strategy for memory Built- in Self-Test (BIST). We argue that by testing the memory through a sequence of test algorithms which differ in their fault coverage, it is possible to bin the memory into multiple yield bins and increase the yield and product revenue. Further, the test strategy must take into consideration the usage model of the memory. Thus, a number of video and audio buffers are used in sequential access mode, but are overtested using conventional memory test algorithms which model a large number of defects which do not impact the operation of the buffers. We propose a binning strategy where memory test algorithms are applied in different order of strictness such that bins have a specific defect / fault grade. Depending on the applications some of these bins need not be discarded but sold at a lower price as the functionality would never catch the fault due to its usage of memory. We introduce the notion of a test map for the on-chip memories in a SoC and provide results of yield simulation on two specific test strategies called "Most Strict First" and "Least Strict First". Our simulations indicate that significant improvements in yield are possible through the adoption of the proposed technique. We show that the BIST controller area and run-time overheads also reduce when information about the usage model of the memory, such as sequential access, is exploited.
本文提出了一种通过选择合适的内存内建自检(BIST)测试策略来提高内存产品成品率的方法。我们认为,通过一系列不同故障覆盖率的测试算法来测试内存,可以将内存分为多个良率箱,从而提高良率和产品收益。此外,测试策略必须考虑到内存的使用模型。因此,在顺序访问模式下使用了许多视频和音频缓冲区,但使用传统的内存测试算法进行了过度测试,该算法模拟了大量不影响缓冲区操作的缺陷。我们提出了一种分箱策略,其中内存测试算法以不同的严格顺序应用,使得分箱具有特定的缺陷/故障等级。根据应用程序的不同,这些箱子中的一些不需要丢弃,但以较低的价格出售,因为该功能永远不会捕获由于内存使用而导致的故障。我们介绍了SoC中片上存储器测试图的概念,并提供了两种特定测试策略的良率模拟结果,称为“最严格优先”和“最不严格优先”。我们的模拟表明,通过采用所提出的技术可以显著提高产量。我们表明,当利用有关内存使用模型的信息(如顺序访问)时,BIST控制器区域和运行时开销也会减少。
{"title":"Memory Yield Improvement through Multiple Test Sequences and Application-Aware Fault Models","authors":"A. Kokrady, C. Ravikumar, N. Chandrachoodan","doi":"10.1109/VLSI.2008.115","DOIUrl":"https://doi.org/10.1109/VLSI.2008.115","url":null,"abstract":"In this paper, we propose a way to improve the yield of memory products by selecting the appropriate test strategy for memory Built- in Self-Test (BIST). We argue that by testing the memory through a sequence of test algorithms which differ in their fault coverage, it is possible to bin the memory into multiple yield bins and increase the yield and product revenue. Further, the test strategy must take into consideration the usage model of the memory. Thus, a number of video and audio buffers are used in sequential access mode, but are overtested using conventional memory test algorithms which model a large number of defects which do not impact the operation of the buffers. We propose a binning strategy where memory test algorithms are applied in different order of strictness such that bins have a specific defect / fault grade. Depending on the applications some of these bins need not be discarded but sold at a lower price as the functionality would never catch the fault due to its usage of memory. We introduce the notion of a test map for the on-chip memories in a SoC and provide results of yield simulation on two specific test strategies called \"Most Strict First\" and \"Least Strict First\". Our simulations indicate that significant improvements in yield are possible through the adoption of the proposed technique. We show that the BIST controller area and run-time overheads also reduce when information about the usage model of the memory, such as sequential access, is exploited.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127187398","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips 使用不可靠芯片设计可靠系统的跨层方法
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.135
F. Kurdahi, N. Dutt, A. Eltawil, S. Nassif
The design for manufacturing and yield (DFM&Y) is fast becoming an indispensable consideration in today's SoCs. Most current flows only consider manufacturability and yield at the lowest levels: process, layout and circuit. As such, these metrics are treated as an afterthought. With advanced process nodes, it has become increasingly expensive-and soon prohibitive-to guarantee bit level error free chips. The challenge now is to design reliable systems using chips that may have some faults. This has lead to approaches that consider DFM&Y at the system level where more benefit can be reaped, and to consider the problem across the design layers. This tutorial covers cross layer approach to design for DFM&Y spanning from the application all the way to manufacturing, overviews various techniques being explored today, and demonstrates its effectiveness on key applications including wireless, multimedia and imaging. We believe that this tutorial will benefit a large percentage of the attendees at VLSI Design 2008, and should elicit an excellent response at the VLSI Design 2008 conference, he tutorial is intended for application designers, chip architects, managers, CAD tool developers, researchers and students interested in System-on-Chip design, platform-based design methodologies, and trends in design for manufacturing and yield at the system level. Attendees should have basic (undergraduate-level) knowledge of VLSI Design and SoC design flows. Familiarity with architectural concepts such as IP based design, and applications such as wireless and multimedia is desirable, but not required. No specific knowledge of CAD tools or modeling languages is required for this tutorial.
面向制造和良率的设计(DFM&Y)正迅速成为当今soc中不可或缺的考虑因素。大多数现行流程只考虑最低层次的可制造性和良率:工艺、布局和电路。因此,这些指标被视为事后的想法。随着先进的工艺节点的出现,保证无比特级错误芯片的成本越来越高,而且很快就会令人望而却步。现在的挑战是使用可能有一些缺陷的芯片设计可靠的系统。这导致了在系统级别考虑DFM&Y(可以获得更多好处)和跨设计层考虑问题的方法。本教程涵盖了从应用到制造的DFM&Y跨层设计方法,概述了目前正在探索的各种技术,并展示了其在无线,多媒体和成像等关键应用中的有效性。我们相信本教程将使VLSI Design 2008的大部分与会者受益,并应在VLSI Design 2008会议上引起良好的反响。本教程旨在为应用设计师,芯片架构师,管理人员,CAD工具开发人员,研究人员和对片上系统设计感兴趣的学生,基于平台的设计方法,以及系统级制造和良率设计趋势。与会者应具备VLSI设计和SoC设计流程的基本(本科水平)知识。熟悉架构概念(如基于IP的设计)和应用程序(如无线和多媒体)是可取的,但不是必需的。本教程不需要CAD工具或建模语言的特定知识。
{"title":"Cross-Layer Approaches to Designing Reliable Systems Using Unreliable Chips","authors":"F. Kurdahi, N. Dutt, A. Eltawil, S. Nassif","doi":"10.1109/VLSI.2008.135","DOIUrl":"https://doi.org/10.1109/VLSI.2008.135","url":null,"abstract":"The design for manufacturing and yield (DFM&Y) is fast becoming an indispensable consideration in today's SoCs. Most current flows only consider manufacturability and yield at the lowest levels: process, layout and circuit. As such, these metrics are treated as an afterthought. With advanced process nodes, it has become increasingly expensive-and soon prohibitive-to guarantee bit level error free chips. The challenge now is to design reliable systems using chips that may have some faults. This has lead to approaches that consider DFM&Y at the system level where more benefit can be reaped, and to consider the problem across the design layers. This tutorial covers cross layer approach to design for DFM&Y spanning from the application all the way to manufacturing, overviews various techniques being explored today, and demonstrates its effectiveness on key applications including wireless, multimedia and imaging. We believe that this tutorial will benefit a large percentage of the attendees at VLSI Design 2008, and should elicit an excellent response at the VLSI Design 2008 conference, he tutorial is intended for application designers, chip architects, managers, CAD tool developers, researchers and students interested in System-on-Chip design, platform-based design methodologies, and trends in design for manufacturing and yield at the system level. Attendees should have basic (undergraduate-level) knowledge of VLSI Design and SoC design flows. Familiarity with architectural concepts such as IP based design, and applications such as wireless and multimedia is desirable, but not required. No specific knowledge of CAD tools or modeling languages is required for this tutorial.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127573659","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read 100MHz至1GHz, 0.35V至1.5V供应256 x 64 SRAM块,使用对称的9T SRAM单元与控制读取
Pub Date : 2008-01-04 DOI: 10.1109/VLSI.2008.89
Satish Anand Verkila, Sivakumar Bondada, B. Amrutur
In this paper, we present dynamic voltage and frequency Managed 256 x 64 SRAM block in 65 nm technology, for frequency ranging from 100 MHz to 1 GHz. The total energy is minimized for any operating frequency in the above range and leakage energy is minimized during standby mode. Since noise margin of SRAM cell deteriorates at low voltages, we propose static noise margin improvement circuitry, which symmetrizes the SRAM cell by controlling the body bias of pull down NMOS transistor. We used a 9T SRAM cell that isolates Read and hold noise margin and has less leakage. We have implemented an efficient technique of pushing address decoder into zigzag- super-cut-off in stand-by mode without affecting its performance in active mode of operation. The read bit line (RBL) voltage drop is controlled and pre-charge of bit lines is done only when needed for reducing power wastage.
在本文中,我们提出了动态电压和频率管理的256 x 64 SRAM块在65纳米技术,频率范围从100 MHz到1 GHz。在上述范围内的任何工作频率下,总能量最小,待机模式下泄漏能量最小。由于SRAM电池的噪声裕度在低电压下恶化,我们提出了静态噪声裕度改善电路,该电路通过控制下拉NMOS晶体管的体偏置来使SRAM电池对称。我们使用9T SRAM单元,隔离读取和保持噪声裕度,泄漏更少。我们实现了一种有效的将地址解码器在待机模式下推入之字形超截止的技术,而不影响其在主动工作模式下的性能。控制读位线(RBL)电压降,仅在需要时才对位线进行预充电,以减少功率浪费。
{"title":"A 100MHz to 1GHz, 0.35V to 1.5V Supply 256 x 64 SRAM Block Using Symmetrized 9T SRAM Cell with Controlled Read","authors":"Satish Anand Verkila, Sivakumar Bondada, B. Amrutur","doi":"10.1109/VLSI.2008.89","DOIUrl":"https://doi.org/10.1109/VLSI.2008.89","url":null,"abstract":"In this paper, we present dynamic voltage and frequency Managed 256 x 64 SRAM block in 65 nm technology, for frequency ranging from 100 MHz to 1 GHz. The total energy is minimized for any operating frequency in the above range and leakage energy is minimized during standby mode. Since noise margin of SRAM cell deteriorates at low voltages, we propose static noise margin improvement circuitry, which symmetrizes the SRAM cell by controlling the body bias of pull down NMOS transistor. We used a 9T SRAM cell that isolates Read and hold noise margin and has less leakage. We have implemented an efficient technique of pushing address decoder into zigzag- super-cut-off in stand-by mode without affecting its performance in active mode of operation. The read bit line (RBL) voltage drop is controlled and pre-charge of bit lines is done only when needed for reducing power wastage.","PeriodicalId":143886,"journal":{"name":"21st International Conference on VLSI Design (VLSID 2008)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2008-01-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116807025","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 28
期刊
21st International Conference on VLSI Design (VLSID 2008)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1