首页 > 最新文献

2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)最新文献

英文 中文
Secure and testable scan design using extended de Bruijn graphs 安全的和可测试的扫描设计使用扩展德布鲁因图
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419845
H. Fujiwara, M. Obien
In this paper, we first introduce extended de Bruijn graphs to design extended shift registers that are functionally equivalent but not structurally equivalent to shift registers. Using the extended shift registers, we present a new secure and testable scan design approach that aims to satisfy both testability and security of digital circuits. The approach is only to replace the original scan registers to modified scan registers called extended scan registers. This method requires very little area overhead and no performance overhead. New concepts of scan security and scan testability are also introduced.
在本文中,我们首先引入扩展de Bruijn图来设计与移位寄存器在功能上等价但结构上不等价的扩展移位寄存器。利用扩展移位寄存器,我们提出了一种新的安全和可测试的扫描设计方法,旨在满足数字电路的可测试性和安全性。该方法只是将原始扫描寄存器替换为称为扩展扫描寄存器的修改扫描寄存器。这种方法只需要很少的面积开销,也没有性能开销。介绍了扫描安全性和扫描可测试性的新概念。
{"title":"Secure and testable scan design using extended de Bruijn graphs","authors":"H. Fujiwara, M. Obien","doi":"10.1109/ASPDAC.2010.5419845","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419845","url":null,"abstract":"In this paper, we first introduce extended de Bruijn graphs to design extended shift registers that are functionally equivalent but not structurally equivalent to shift registers. Using the extended shift registers, we present a new secure and testable scan design approach that aims to satisfy both testability and security of digital circuits. The approach is only to replace the original scan registers to modified scan registers called extended scan registers. This method requires very little area overhead and no performance overhead. New concepts of scan security and scan testability are also introduced.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122789675","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 48
Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors 基于运行时温度的多核处理器吞吐量优化功耗估计
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419815
Dongkeun Oh, N. Kim, C. C. Chen, A. Davoodi, Y. Hu
Technology scaling has allowed integration of multiple cores into a single die. However, high power consumption of each core leads to very high heat density, limiting the throughput of thermal-constrained multi-core processors. To maximize the throughput, various software-based dynamic thermal management and optimization techniques have been proposed, many of which depend on accurate temperature sensing of each core. However, the decision for dynamic thermal management and throughput optimization only based on the temperature of each core can result in less optimal throughput in certain circumstances according to our investigation. In this paper, we propose 1) a dynamic power estimation method using a single thermal sensor for each core in multi-core processors, 2) a die temperature reconstruction method using the estimated power, and 3) a throughput optimization method based the estimated power instead of the temperature. According to our experiment using 90nm technology, the proposed method results in less than 3% error in estimating power and hot-spot temperature of a multi-core processor. Furthermore, the proposed throughput optimization method based on the estimated power leads to up to 4% higher throughput than a temperature-based optimization method.
技术扩展允许将多个核心集成到单个芯片中。然而,每个核心的高功耗导致非常高的热密度,限制了热约束多核处理器的吞吐量。为了最大限度地提高吞吐量,已经提出了各种基于软件的动态热管理和优化技术,其中许多技术依赖于每个核心的精确温度传感。然而,根据我们的调查,在某些情况下,仅基于每个核心的温度进行动态热管理和吞吐量优化的决策可能会导致较低的最佳吞吐量。在本文中,我们提出了1)一种基于多核处理器中每个核单个热传感器的动态功耗估计方法,2)一种基于估计功耗的芯片温度重构方法,以及3)一种基于估计功耗而不是温度的吞吐量优化方法。实验结果表明,该方法对多核处理器的功耗和热点温度的估计误差小于3%。此外,基于估计功率的吞吐量优化方法比基于温度的优化方法的吞吐量提高了4%。
{"title":"Runtime temperature-based power estimation for optimizing throughput of thermal-constrained multi-core processors","authors":"Dongkeun Oh, N. Kim, C. C. Chen, A. Davoodi, Y. Hu","doi":"10.1109/ASPDAC.2010.5419815","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419815","url":null,"abstract":"Technology scaling has allowed integration of multiple cores into a single die. However, high power consumption of each core leads to very high heat density, limiting the throughput of thermal-constrained multi-core processors. To maximize the throughput, various software-based dynamic thermal management and optimization techniques have been proposed, many of which depend on accurate temperature sensing of each core. However, the decision for dynamic thermal management and throughput optimization only based on the temperature of each core can result in less optimal throughput in certain circumstances according to our investigation. In this paper, we propose 1) a dynamic power estimation method using a single thermal sensor for each core in multi-core processors, 2) a die temperature reconstruction method using the estimated power, and 3) a throughput optimization method based the estimated power instead of the temperature. According to our experiment using 90nm technology, the proposed method results in less than 3% error in estimating power and hot-spot temperature of a multi-core processor. Furthermore, the proposed throughput optimization method based on the estimated power leads to up to 4% higher throughput than a temperature-based optimization method.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"109 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122942892","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
CAD reference flow for 3D via-last integrated circuits 三维过孔集成电路的CAD参考流程
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419898
Chang-Tzu Lin, D. Kwai, Yung-Fa Chou, Ting-Sheng Chen, Wen Ching Wu
Next-decade computing power and interconnect bottle-neck challenge conventional IC design due to the ever increasing demands for high frequency and great bandwidth. Three-dimensional large-scale integration (3D-LSI) provides an opportunity to realize such high performance cores while reducing long latency. In this paper, we present a reference flow for the implementation of 3D via-last ICs in scalable face-to-back bonding style which leverages a mature set of 2D IC physical design tools. The first enabling technology of 3D-LSI is through-silicon via (TSV). Two kinds of TSV diameters are exemplified in the flow, namely, 5µm and 50µm. We propose an easy-to-adopt method to address the TSV-aware mixed-sized placement by considering the obstructions generated from adjacent-tier's floorplan, subject to certain TSV alignment constraints. Furthermore, the technique of clock tree synthesis (CTS) for a homogeneous die stack is developed to dramatically reduce the clock latency and skew. The mixed-sized placement and CTS of each tier can be done without iteration. To the best of our knowledge, no work has ever been published in literature discussing CTS for 3D via-last integration in a face-to-back fashion. Finally, to complete the proposed flow 2D timing-driven routing and modified off-line design rule check (DRC) and layout versus schematic (LVS) verification are performed very well.
由于对高频和大带宽的需求不断增加,未来十年的计算能力和互连瓶颈对传统集成电路设计提出了挑战。三维大规模集成电路(3D-LSI)提供了实现这种高性能核心的机会,同时减少了长延迟。在本文中,我们提出了一个参考流程,用于实现可扩展的对背键合风格的3D过孔集成电路,该集成电路利用了一套成熟的2D集成电路物理设计工具。3D-LSI的第一个使能技术是硅通孔(TSV)。流中举例说明了两种TSV直径,分别为5µm和50µm。我们提出了一种易于采用的方法,通过考虑邻接层平面图产生的障碍物来解决TSV感知的混合尺寸放置,并受到一定的TSV对齐约束。在此基础上,提出了一种基于时钟树合成的均匀芯片技术,以显著降低芯片的时钟延迟和时钟偏差。每个层的混合大小的放置和CTS可以在没有迭代的情况下完成。据我们所知,没有工作曾经在文献中发表过讨论CTS的3D过尾集成在一个对背的方式。最后,为了完成所提出的二维时序驱动路由,改进的离线设计规则检查(DRC)和布局与原理图(LVS)验证进行了很好的验证。
{"title":"CAD reference flow for 3D via-last integrated circuits","authors":"Chang-Tzu Lin, D. Kwai, Yung-Fa Chou, Ting-Sheng Chen, Wen Ching Wu","doi":"10.1109/ASPDAC.2010.5419898","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419898","url":null,"abstract":"Next-decade computing power and interconnect bottle-neck challenge conventional IC design due to the ever increasing demands for high frequency and great bandwidth. Three-dimensional large-scale integration (3D-LSI) provides an opportunity to realize such high performance cores while reducing long latency. In this paper, we present a reference flow for the implementation of 3D via-last ICs in scalable face-to-back bonding style which leverages a mature set of 2D IC physical design tools. The first enabling technology of 3D-LSI is through-silicon via (TSV). Two kinds of TSV diameters are exemplified in the flow, namely, 5µm and 50µm. We propose an easy-to-adopt method to address the TSV-aware mixed-sized placement by considering the obstructions generated from adjacent-tier's floorplan, subject to certain TSV alignment constraints. Furthermore, the technique of clock tree synthesis (CTS) for a homogeneous die stack is developed to dramatically reduce the clock latency and skew. The mixed-sized placement and CTS of each tier can be done without iteration. To the best of our knowledge, no work has ever been published in literature discussing CTS for 3D via-last integration in a face-to-back fashion. Finally, to complete the proposed flow 2D timing-driven routing and modified off-line design rule check (DRC) and layout versus schematic (LVS) verification are performed very well.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"52 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123658692","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
An analytical dynamic scaling of supply voltage and body bias exploiting memory stall time variation 利用记忆失速时间变化分析电源电压和体偏置的动态标度
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419820
Jungsoo Kim, Younghoon Lee, S. Yoo, C. Kyung
Success of workload prediction, which is critical in achieving low energy consumption via dynamic voltage and frequency scaling (DVFS), depends on the accuracy of modeling the major sources of workload variation. Among them, memory stall time, whose variation is significant especially in case of memory-bound applications, has been mostly neglected or handled in too simplistic assumptions in previous works. In this paper, we present an analytical DVFS method which takes into account variations in both computation and memory stall cycles. The proposed method reduces leakage power consumption as well as switching power consumption through combined Vdd/Vbb scaling. Experimental results on MPEG4 and H.264 decoder have shown that, compared to previous methods [3] and [6], our method achieves up to additional 30.0% and 15.8% energy reductions, respectively.
工作负载预测是通过动态电压和频率缩放(DVFS)实现低能耗的关键,其成功与否取决于对工作负载变化的主要来源建模的准确性。其中,内存失速时间的变化很大,特别是在内存受限的应用程序中,在以往的工作中大多被忽略或过于简单的假设所处理。在本文中,我们提出了一种考虑计算和内存失速周期变化的解析DVFS方法。该方法通过Vdd/Vbb组合缩放,降低了泄漏功耗和开关功耗。在MPEG4和H.264解码器上的实验结果表明,与之前的方法[3]和[6]相比,我们的方法分别实现了30.0%和15.8%的额外能量降低。
{"title":"An analytical dynamic scaling of supply voltage and body bias exploiting memory stall time variation","authors":"Jungsoo Kim, Younghoon Lee, S. Yoo, C. Kyung","doi":"10.1109/ASPDAC.2010.5419820","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419820","url":null,"abstract":"Success of workload prediction, which is critical in achieving low energy consumption via dynamic voltage and frequency scaling (DVFS), depends on the accuracy of modeling the major sources of workload variation. Among them, memory stall time, whose variation is significant especially in case of memory-bound applications, has been mostly neglected or handled in too simplistic assumptions in previous works. In this paper, we present an analytical DVFS method which takes into account variations in both computation and memory stall cycles. The proposed method reduces leakage power consumption as well as switching power consumption through combined Vdd/Vbb scaling. Experimental results on MPEG4 and H.264 decoder have shown that, compared to previous methods [3] and [6], our method achieves up to additional 30.0% and 15.8% energy reductions, respectively.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128973129","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
PS-FPG: Pattern selection based co-design of floorplan and Power/Ground network with wiring resource optimization PS-FPG:基于布线资源优化的平面布置图与电源/地网协同设计模式选择
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419785
Li Li, Yuchun Ma, N. Xu, Yu Wang, Xianlong Hong
As technology advances, the voltage (IR) drop in the Power/Ground (P/G) network becomes a serious problem in modern IC design. The P/G network co-design with floorplan can improve the power design quality. Different with traditional approaches which analyze P/G network during the floorplanning iterations, in this paper, an efficient pattern selection method is used to provide gradient information for fast signal-integrity estimation. We also propose a novel P/G aware incremental algorithm which can intelligently fix the violations during the floorplanning process. The P/G pin assignment and wire sizing method are adopted during the floorplanning process so that the power routing resource can be minimized with the constraints of IR drop and electron migration (EM) considered. Experimental results based on the MCNC benchmarks show that our design not only significantly speeds up the optimization process, but also optimizes the power routing resource while the quality of the floorplanning is maintained.
随着技术的进步,电源/地(P/G)网络中的电压(IR)下降成为现代集成电路设计中的一个严重问题。P/G网络与平面布置图协同设计可以提高电源设计质量。与传统的在平面规划迭代过程中分析P/G网络的方法不同,本文采用了一种有效的模式选择方法来提供梯度信息,以实现快速的信号完整性估计。我们还提出了一种新的P/G感知增量算法,该算法可以智能地修复平面规划过程中的违规行为。在平面规划过程中采用P/G引脚分配和线径确定方法,在考虑IR下降和电子迁移约束的情况下,最大限度地减少了电源布线资源。基于MCNC基准测试的实验结果表明,我们的设计不仅显著加快了优化过程,而且在保持平面规划质量的同时,优化了电源路由资源。
{"title":"PS-FPG: Pattern selection based co-design of floorplan and Power/Ground network with wiring resource optimization","authors":"Li Li, Yuchun Ma, N. Xu, Yu Wang, Xianlong Hong","doi":"10.1109/ASPDAC.2010.5419785","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419785","url":null,"abstract":"As technology advances, the voltage (IR) drop in the Power/Ground (P/G) network becomes a serious problem in modern IC design. The P/G network co-design with floorplan can improve the power design quality. Different with traditional approaches which analyze P/G network during the floorplanning iterations, in this paper, an efficient pattern selection method is used to provide gradient information for fast signal-integrity estimation. We also propose a novel P/G aware incremental algorithm which can intelligently fix the violations during the floorplanning process. The P/G pin assignment and wire sizing method are adopted during the floorplanning process so that the power routing resource can be minimized with the constraints of IR drop and electron migration (EM) considered. Experimental results based on the MCNC benchmarks show that our design not only significantly speeds up the optimization process, but also optimizes the power routing resource while the quality of the floorplanning is maintained.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129167302","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Gate delay estimation in STA under dynamic power supply noise 动态电源噪声下STA的门延迟估计
Pub Date : 2010-01-18 DOI: 10.1587/TRANSFUN.E93.A.2447
Takaaki Okumura, Fumihiro Minami, Kenji Shimazaki, Kimihiko Kuwada, Masanori Hashimoto
This paper presents a gate delay estimation method that takes into account dynamic power supply noise. We review STA based on static IR-drop analysis and a conventional method for dynamic noise waveform, and reveal their limitations and problems that originate from circuit structures and higher delay sensitivity to voltage in advanced technologies. We then propose a gate delay computation that overcomes the problems with iterative computations and consideration of input voltage drop. Evaluation results with various circuits and noise injection timings show that the proposed method estimates path delay fluctuation well within 2% error on average.
提出了一种考虑动态电源噪声的门延迟估计方法。我们回顾了基于静态IR-drop分析的STA和动态噪声波形的传统方法,并揭示了它们的局限性和问题,这些问题源于电路结构和先进技术中对电压的高延迟灵敏度。然后,我们提出了一种门延迟计算,克服了迭代计算和考虑输入电压降的问题。不同电路和噪声注入时间的评估结果表明,该方法估计路径延迟波动的平均误差在2%以内。
{"title":"Gate delay estimation in STA under dynamic power supply noise","authors":"Takaaki Okumura, Fumihiro Minami, Kenji Shimazaki, Kimihiko Kuwada, Masanori Hashimoto","doi":"10.1587/TRANSFUN.E93.A.2447","DOIUrl":"https://doi.org/10.1587/TRANSFUN.E93.A.2447","url":null,"abstract":"This paper presents a gate delay estimation method that takes into account dynamic power supply noise. We review STA based on static IR-drop analysis and a conventional method for dynamic noise waveform, and reveal their limitations and problems that originate from circuit structures and higher delay sensitivity to voltage in advanced technologies. We then propose a gate delay computation that overcomes the problems with iterative computations and consideration of input voltage drop. Evaluation results with various circuits and noise injection timings show that the proposed method estimates path delay fluctuation well within 2% error on average.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"50 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116818305","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Optimizing blocks in an SoC using symbolic code-statement reachability analysis 优化块在一个SoC使用符号代码-语句可达性分析
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419784
Hong-Zu Chou, Kai-Hui Chang, S. Kuo
Optimizing blocks in a System-on-Chip (SoC) circuit is becoming more and more important nowadays due to the use of third-party Intellectual Properties (IPs) and reused design blocks. In this paper, we propose techniques and methodologies that utilize abundant external don't-cares that exist in an SoC environment for block optimization. Our symbolic code-statement reachability analysis can extract don't-care conditions from constrained-random testbenches or other design blocks to identify unreachable conditional blocks in the design code. Those blocks can then be removed before logic synthesis is performed to produce smaller and more power-efficient final circuits. Our results show that we can optimize designs under different constraints and provide additional flexibility for SoC design flows.
由于使用第三方知识产权(ip)和重用设计模块,优化片上系统(SoC)电路中的模块变得越来越重要。在本文中,我们提出了利用SoC环境中存在的大量外部无关因素进行块优化的技术和方法。我们的符号代码-语句可达性分析可以从约束随机测试台或其他设计块中提取不关心的条件,以识别设计代码中不可达的条件块。在进行逻辑合成之前,这些模块可以被移除,从而产生更小、更节能的最终电路。我们的研究结果表明,我们可以在不同的约束条件下优化设计,并为SoC设计流程提供额外的灵活性。
{"title":"Optimizing blocks in an SoC using symbolic code-statement reachability analysis","authors":"Hong-Zu Chou, Kai-Hui Chang, S. Kuo","doi":"10.1109/ASPDAC.2010.5419784","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419784","url":null,"abstract":"Optimizing blocks in a System-on-Chip (SoC) circuit is becoming more and more important nowadays due to the use of third-party Intellectual Properties (IPs) and reused design blocks. In this paper, we propose techniques and methodologies that utilize abundant external don't-cares that exist in an SoC environment for block optimization. Our symbolic code-statement reachability analysis can extract don't-care conditions from constrained-random testbenches or other design blocks to identify unreachable conditional blocks in the design code. Those blocks can then be removed before logic synthesis is performed to produce smaller and more power-efficient final circuits. Our results show that we can optimize designs under different constraints and provide additional flexibility for SoC design flows.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"269 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116182092","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Slack redistribution for graceful degradation under voltage overscaling 电压过标度下优美退化的松弛再分配
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419690
A. Kahng, Seokhyeong Kang, Rakesh Kumar, J. Sartori
Modern digital IC designs have a critical operating point, or “wall of slack”, that limits voltage scaling. Even with an error-tolerance mechanism, scaling voltage below a critical voltage - so-called overscaling - results in more timing errors than can be effectively detected or corrected. This limits the effectiveness of voltage scaling in trading off system reliability and power. We propose a design-level approach to trading off reliability and voltage (power) in, e.g., microprocessor designs. We increase the range of voltage values at which the (timing) error rate is acceptable; we achieve this through techniques for power-aware slack redistribution that shift the timing slack of frequently-exercised, near-critical timing paths in a power- and area-efficient manner. The resulting designs heuristically minimize the voltage at which the maximum allowable error rate is encountered, thus minimizing power consumption for a prescribed maximum error rate and allowing the design to fail more gracefully. Compared with baseline designs, we achieve a maximum of 32.8% and an average of 12.5% power reduction at an error rate of 2%. The area overhead of our techniques, as evaluated through physical implementation (synthesis, placement and routing), is no more than 2.7%.
现代数字集成电路设计有一个关键的工作点,或“松弛墙”,限制电压缩放。即使有容错机制,低于临界电压的缩放电压(所谓的过缩放)也会导致比有效检测或纠正更多的定时误差。这限制了电压缩放在权衡系统可靠性和功率方面的有效性。我们提出了一种设计级的方法来权衡可靠性和电压(功率),例如,微处理器设计。我们增加了(定时)错误率可接受的电压值范围;我们通过功率感知松弛再分配技术来实现这一目标,该技术以功率和面积效率的方式改变频繁运行的近关键时序路径的定时松弛。由此产生的设计启发式地最小化遇到最大允许错误率时的电压,从而在规定的最大错误率下最小化功耗,并允许设计更优雅地失败。与基准设计相比,我们实现了最大32.8%和平均12.5%的功耗降低,错误率为2%。通过物理实现(合成、放置和路由)评估,我们的技术的面积开销不超过2.7%。
{"title":"Slack redistribution for graceful degradation under voltage overscaling","authors":"A. Kahng, Seokhyeong Kang, Rakesh Kumar, J. Sartori","doi":"10.1109/ASPDAC.2010.5419690","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419690","url":null,"abstract":"Modern digital IC designs have a critical operating point, or “wall of slack”, that limits voltage scaling. Even with an error-tolerance mechanism, scaling voltage below a critical voltage - so-called overscaling - results in more timing errors than can be effectively detected or corrected. This limits the effectiveness of voltage scaling in trading off system reliability and power. We propose a design-level approach to trading off reliability and voltage (power) in, e.g., microprocessor designs. We increase the range of voltage values at which the (timing) error rate is acceptable; we achieve this through techniques for power-aware slack redistribution that shift the timing slack of frequently-exercised, near-critical timing paths in a power- and area-efficient manner. The resulting designs heuristically minimize the voltage at which the maximum allowable error rate is encountered, thus minimizing power consumption for a prescribed maximum error rate and allowing the design to fail more gracefully. Compared with baseline designs, we achieve a maximum of 32.8% and an average of 12.5% power reduction at an error rate of 2%. The area overhead of our techniques, as evaluated through physical implementation (synthesis, placement and routing), is no more than 2.7%.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126830272","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 166
Micro-scale energy harvesting: A system design perspective 微尺度能量收集:系统设计视角
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419913
Chao Lu, V. Raghunathan, K. Roy
Harvesting electrical power from environmental energy sources is an attractive and increasingly feasible option for several micro-scale electronic systems such as biomedical implants and wireless sensor nodes that need to operate autonomously for long periods of time (months to years). However, designing highly efficient micro-scale energy harvesting systems requires an in-depth understanding of various design considerations and tradeoffs. This paper provides an overview of the area of micro-scale energy harvesting and discusses the various challenges and considerations involved from a system-design perspective.
对于需要长时间(数月至数年)自主运行的生物医学植入物和无线传感器节点等微型电子系统来说,从环境能源中收集电力是一个有吸引力且越来越可行的选择。然而,设计高效的微尺度能量收集系统需要深入了解各种设计考虑因素和权衡。本文概述了微尺度能量收集领域,并从系统设计的角度讨论了涉及的各种挑战和考虑因素。
{"title":"Micro-scale energy harvesting: A system design perspective","authors":"Chao Lu, V. Raghunathan, K. Roy","doi":"10.1109/ASPDAC.2010.5419913","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419913","url":null,"abstract":"Harvesting electrical power from environmental energy sources is an attractive and increasingly feasible option for several micro-scale electronic systems such as biomedical implants and wireless sensor nodes that need to operate autonomously for long periods of time (months to years). However, designing highly efficient micro-scale energy harvesting systems requires an in-depth understanding of various design considerations and tradeoffs. This paper provides an overview of the area of micro-scale energy harvesting and discusses the various challenges and considerations involved from a system-design perspective.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"140 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127482854","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 43
A WiMAX turbo decoder with tailbiting BIP architecture 一种具有尾部BIP结构的WiMAX涡轮解码器
Pub Date : 2010-01-18 DOI: 10.1109/ASPDAC.2010.5419858
H. Arai, N. Miyamoto, K. Kotani, H. Fujisawa, Takashi Ito
A tailbiting block-interleaved pipelining (TB-BIP) is proposed for deeply-pipelined turbo decoders. Conventional sliding window block-interleaved pipelining (SW-BIP) turbo decoders suffer from many warm-up calculations when the number of pipeline stages is increased. However, by using TB-BIP, more than 50% of the warm-up calculations are reduced as compared to SW-BIP. We have implemented a TB-BIP WiMAX turbo decoder with four pipeline stages in the area of 3.8 mm2 using a 0.18 µm CMOS technology. The chip achieved 45 Mbps/iter and 3.11 nJ/b/iter at 99 MHz operation.
提出了一种用于深度流水线turbo解码器的咬尾块交错流水线(TB-BIP)方法。当管道级数增加时,传统的滑动窗口块交错管道(SW-BIP)涡轮解码器需要进行多次预热计算。然而,通过使用TB-BIP,与SW-BIP相比,热身计算减少了50%以上。我们使用0.18µm CMOS技术实现了一个TB-BIP WiMAX涡轮解码器,该解码器具有四个3.8 mm2的管道级。该芯片在99 MHz工作时达到45 Mbps/iter和3.11 nJ/b/iter。
{"title":"A WiMAX turbo decoder with tailbiting BIP architecture","authors":"H. Arai, N. Miyamoto, K. Kotani, H. Fujisawa, Takashi Ito","doi":"10.1109/ASPDAC.2010.5419858","DOIUrl":"https://doi.org/10.1109/ASPDAC.2010.5419858","url":null,"abstract":"A tailbiting block-interleaved pipelining (TB-BIP) is proposed for deeply-pipelined turbo decoders. Conventional sliding window block-interleaved pipelining (SW-BIP) turbo decoders suffer from many warm-up calculations when the number of pipeline stages is increased. However, by using TB-BIP, more than 50% of the warm-up calculations are reduced as compared to SW-BIP. We have implemented a TB-BIP WiMAX turbo decoder with four pipeline stages in the area of 3.8 mm2 using a 0.18 µm CMOS technology. The chip achieved 45 Mbps/iter and 3.11 nJ/b/iter at 99 MHz operation.","PeriodicalId":152569,"journal":{"name":"2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)","volume":"27 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2010-01-18","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126563565","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1