首页 > 最新文献

2018 7th Electronic System-Integration Technology Conference (ESTC)最新文献

英文 中文
Single Mode Polymer Optical Waveguides and Out-ofplane Coupling Structure on a Glass Substrate 玻璃基板上的单模聚合物光波导及面外耦合结构
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546461
J. Boucaud, Q. Hivin, C. Durand, F. Gianesello, D. Bucci, J. Robillard, F. Vaurette, J. Broquin, E. Dubois
In this paper, we propose an approach to improve silicon photonics-based optical transceivers packaging capabilities thanks to an optical and electrical interposer. We demonstrate the fabrication of polymer optical waveguides, single mode at telecom wavelengths using photosensitive resists and ultra-violet (UV) laser lithography on a glass substrate. Alongside the waveguides, a total internal reflection (TIR) based mirror is integrated for vertical redirection of the optical signal toward the silicon photonic integrated circuit (PIC). Femtosecond laser ablation is used for the mirror fabrication. Both the waveguides and the mirror have been optically characterized at 1310 nm. Total propagation losses of the waveguides have been measured at 5.5 dB for a 24.7 mm long waveguide. The mirrors present a circular distribution of the EM field.
在本文中,我们提出了一种改进基于硅光子学的光收发器封装能力的方法,这要归功于光学和电子中介器。我们演示了在玻璃基板上使用光敏电阻和紫外线激光光刻技术制造电信波长单模聚合物光波导。除了波导外,还集成了一个基于全内反射(TIR)的反射镜,用于将光信号垂直重定向到硅光子集成电路(PIC)。飞秒激光烧蚀用于反射镜的制造。波导和反射镜都在1310nm处进行了光学表征。对于24.7 mm长的波导,测量到波导的总传播损耗为5.5 dB。反射镜呈现出电磁场的圆形分布。
{"title":"Single Mode Polymer Optical Waveguides and Out-ofplane Coupling Structure on a Glass Substrate","authors":"J. Boucaud, Q. Hivin, C. Durand, F. Gianesello, D. Bucci, J. Robillard, F. Vaurette, J. Broquin, E. Dubois","doi":"10.1109/ESTC.2018.8546461","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546461","url":null,"abstract":"In this paper, we propose an approach to improve silicon photonics-based optical transceivers packaging capabilities thanks to an optical and electrical interposer. We demonstrate the fabrication of polymer optical waveguides, single mode at telecom wavelengths using photosensitive resists and ultra-violet (UV) laser lithography on a glass substrate. Alongside the waveguides, a total internal reflection (TIR) based mirror is integrated for vertical redirection of the optical signal toward the silicon photonic integrated circuit (PIC). Femtosecond laser ablation is used for the mirror fabrication. Both the waveguides and the mirror have been optically characterized at 1310 nm. Total propagation losses of the waveguides have been measured at 5.5 dB for a 24.7 mm long waveguide. The mirrors present a circular distribution of the EM field.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131235730","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Modelling approaches of Vapour Phase Reflow Soldering 气相回流焊的建模方法
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546405
A. Géczy, I. Bozsóki, B. Illés
Vapour Phase Soldering (VPS) is a condensation based heat-transfer method for reflowing electronic assemblies in electronics manufacturing. VPS is still not widespread in the industry, despite its comeback and clear advantages. This is mainly due to the general use of convection type reflow, the lower throughput of VPS type ovens and the complex process, which is difficult to monitor both by measurement and modelling approaches. Our research focuses on investigating the modeling of the process, to aim for better control and improved soldering quality. The process is sensitive to any perturbing measurements, involving sensors, so carefully validated modelling software may help to understand the details of this reflow method. In order to investigate the process, three different modelling approaches were elaborated with different focuses. The first approach presents an explicit and fast modelling method of the Printed Circuit Board level, involving the abstraction of condensation on horizontal plates and discs. The second approach extends the view by focusing on the component, using heat transfer coefficients extracted from experimental data. The method can reveal specific effects of heat transfer on SMD components with different structures. The third approach involves the volume of the work zone, the vapour blanket inside, the surface and the structure of the assembly. With a multi-physics (heat- and mass transfer) and additional co-simulation approach - based on a custom Finite Difference Method (FDM) solver - the work zone and the board level can be modelled simultaneously. This brings the possibility to monitor the state of the vapour, to investigate the effects of assemblies on the vapour blanket, and the state of the condensate combined, affecting heat transfer on the assembly. The modelling approaches are presented in the paper with a general summary of our recent works, discussion on the validation and the achieved results, highlighting possible rooms for improvement. The findings may also help improving oven design aspects pointing to the requirements of future factories.
气相焊接(VPS)是电子制造业中回流电子组件的一种基于冷凝的传热方法。尽管VPS卷土重来,优势明显,但在行业中仍不普及。这主要是由于对流型回流的普遍使用,VPS型烤箱的吞吐量较低,以及过程复杂,难以通过测量和建模方法进行监测。我们的研究重点是研究过程的建模,旨在更好地控制和提高焊接质量。该过程对涉及传感器的任何干扰测量都很敏感,因此经过仔细验证的建模软件可能有助于了解这种回流方法的细节。为了研究这一过程,本文以不同的重点阐述了三种不同的建模方法。第一种方法提出了一种明确和快速的印刷电路板级建模方法,包括对水平板和盘上的冷凝的抽象。第二种方法通过使用从实验数据中提取的传热系数来关注组件来扩展视图。该方法可以揭示不同结构的贴片元件传热的具体影响。第三种方法涉及工作区的体积,内部的蒸汽毯,表面和组件的结构。通过多物理场(传热和传质)和基于自定义有限差分法(FDM)求解器的附加联合模拟方法,可以同时对工作区和电路板级进行建模。这样就有可能监测蒸汽的状态,研究组件对蒸汽毯的影响,以及冷凝物的状态,影响组件的传热。本文介绍了建模方法,概述了我们最近的工作,讨论了验证和取得的结果,强调了可能的改进空间。这一发现也可能有助于改善烘箱设计方面,指出未来工厂的要求。
{"title":"Modelling approaches of Vapour Phase Reflow Soldering","authors":"A. Géczy, I. Bozsóki, B. Illés","doi":"10.1109/ESTC.2018.8546405","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546405","url":null,"abstract":"Vapour Phase Soldering (VPS) is a condensation based heat-transfer method for reflowing electronic assemblies in electronics manufacturing. VPS is still not widespread in the industry, despite its comeback and clear advantages. This is mainly due to the general use of convection type reflow, the lower throughput of VPS type ovens and the complex process, which is difficult to monitor both by measurement and modelling approaches. Our research focuses on investigating the modeling of the process, to aim for better control and improved soldering quality. The process is sensitive to any perturbing measurements, involving sensors, so carefully validated modelling software may help to understand the details of this reflow method. In order to investigate the process, three different modelling approaches were elaborated with different focuses. The first approach presents an explicit and fast modelling method of the Printed Circuit Board level, involving the abstraction of condensation on horizontal plates and discs. The second approach extends the view by focusing on the component, using heat transfer coefficients extracted from experimental data. The method can reveal specific effects of heat transfer on SMD components with different structures. The third approach involves the volume of the work zone, the vapour blanket inside, the surface and the structure of the assembly. With a multi-physics (heat- and mass transfer) and additional co-simulation approach - based on a custom Finite Difference Method (FDM) solver - the work zone and the board level can be modelled simultaneously. This brings the possibility to monitor the state of the vapour, to investigate the effects of assemblies on the vapour blanket, and the state of the condensate combined, affecting heat transfer on the assembly. The modelling approaches are presented in the paper with a general summary of our recent works, discussion on the validation and the achieved results, highlighting possible rooms for improvement. The findings may also help improving oven design aspects pointing to the requirements of future factories.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132042453","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Embedding and Interconnecting of Ultra-Thin RF Chip in Combination with Flexible Wireless Hub in Polymer Foil 超薄射频芯片与柔性无线集线器在聚合物箔中的嵌入与互连
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546462
G. Alavi, Sefa Özbek, Mahsa Rasteh, M. Grözing, M. Berroth, J. Hesselbarth, Joachim N.Burghartz
a flexible and adaptive energy-efficient high-speed wireless hub is developed as a Hybrid System-in-Foil (HySiF) using CMOS compatible Chip-Film Patch (CFP) technology. In this matter, the SiGe BiCMOS silicon chips (2.39 × 1.65 mm2) are thinned down to 45 µm and are embedded face-up inside a two-polymer CFP flexible foil carrier. The active pads of embedded silicon chips inside foil are extended to the surface of the foil and interconnected to the antenna fabricated on the foil using an adaptive layout technique. A thin chip power amplifier (PA) is embedded in flexible polymer foil and is interconnected to the antenna with a signal transmission at 5.5 GHz. The overall thickness of system is below 100 µm and, thus, has bendability down to 4 mm radius of curvature.
采用CMOS兼容的Chip-Film Patch (CFP)技术,开发了一种灵活、自适应、节能的高速无线集线器,作为混合箔片系统(HySiF)。在这种情况下,SiGe BiCMOS硅芯片(2.39 × 1.65 mm2)被减薄至45 μ m,并正面嵌入在双聚合物CFP柔性箔载体中。利用自适应布局技术,将嵌入硅芯片的有源衬垫延伸到箔片表面,并与箔片上的天线相互连接。一个薄芯片功率放大器(PA)嵌入在柔性聚合物箔中,并以5.5 GHz的信号传输与天线互连。系统的总厚度低于100µm,因此可弯曲至4 mm的曲率半径。
{"title":"Embedding and Interconnecting of Ultra-Thin RF Chip in Combination with Flexible Wireless Hub in Polymer Foil","authors":"G. Alavi, Sefa Özbek, Mahsa Rasteh, M. Grözing, M. Berroth, J. Hesselbarth, Joachim N.Burghartz","doi":"10.1109/ESTC.2018.8546462","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546462","url":null,"abstract":"a flexible and adaptive energy-efficient high-speed wireless hub is developed as a Hybrid System-in-Foil (HySiF) using CMOS compatible Chip-Film Patch (CFP) technology. In this matter, the SiGe BiCMOS silicon chips (2.39 × 1.65 mm2) are thinned down to 45 µm and are embedded face-up inside a two-polymer CFP flexible foil carrier. The active pads of embedded silicon chips inside foil are extended to the surface of the foil and interconnected to the antenna fabricated on the foil using an adaptive layout technique. A thin chip power amplifier (PA) is embedded in flexible polymer foil and is interconnected to the antenna with a signal transmission at 5.5 GHz. The overall thickness of system is below 100 µm and, thus, has bendability down to 4 mm radius of curvature.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133317903","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Characterization of Electromigration Effects in RDL of Wafer Level Fan-In and Fan-Out Packaging Using a Novel Analysis Approach 用一种新的分析方法表征晶圆级扇入和扇出封装RDL中的电迁移效应
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546481
A. Cardoso, S. Martins, A. Gouvêa
Electromigration (EM) is an important phenomenon in microelectronics, widely studied and modeled in chip design in the last decades. More recently, the increase of packaging density pushed EM studies outside of the chip. In Wafer Level Fan-In and Wafer Level Fan-Out designs, EM studies have focused on the package solderjoins, due to the poor robustness of solder alloys to EM. Inside the package, the copper traces in the redistribution layer (RDL) have not been considered critical because the minimum cross-sectional area of RDL technology had non-critical current densities. However, the constant demand for packaging miniaturization is requiring even higher RDL densities, with line/space ($mathrm {L}/mathrm {S})lt 5mu mathrm {m}$ under development for fan-out and $mathrm {L}/mathrm {S}lt 2 mu mathrm {m}$ for fan-in. Due to RDL process limitations, L/S reduction carries a quadratic reduction on the trace's cross-section, which can have a significant impact on EM reliability. Moreover, while IC lines are embedded in a thermally-conductive medium, RDL lines are built on dielectrics with poor heat dissipation and, also critical, fan-out packages have areas/ materials with very different thermal conductivity - silicon (Si), mold compound (MC), which lead to hotter and uneven line temperatures and consequently different EM rates.This paper studies the EM effects in RDL and quantifies its impact on reliability and product life expectancy for Amkor's WLFI/WLFO technologies. The increase of relative resistance, $Delta mathrm {R}/mathrm {R}$, was analyzed on highly stressed RDL Cu traces, built over Si and MC units to test the extreme conditions in fan-out packages. Both continuous and on-off cycled temperature tests were conducted to investigate the thermomechanical stress impact on EM. The results showed very different increase rates of $Delta mathrm {R}/mathrm {R}$ due to the very different thermal dissipation abilities, identifying the need for specific RDL design rules. In the continuous temperature tests, the fairly linear increase of $Delta mathrm {R}/mathrm {R}$ suggested the use of a degradation rate (DR) to characterize the EM effects in a very fast way, instead of determining mean time to failure (MTTF) figures that are time-consuming and depend on arbitrary and heuristic failure criteria (e.g., 20% rise of $Delta mathrm {R}/mathrm {R})$. The linear extrapolation enabled by the DR also allowed the fast build-up of MTTF Weibull plots that would otherwise take several months to complete. A model for the DR, adapted from Black's model, was developed for the statistical estimation of mean DR for a given temperature and current density. In the on-off cycled temperature test, a stepwise behavior of $Delta mathrm {R}/mathrm {R}$ was observed, with general reduction of net MTTF, while DR acceleration was only observed on the MC units, pointing to external thermomechanical-induced effects on the measured $Delta mathrm {R}/mathrm {R}$, which are filtered by
电迁移是微电子学中的一个重要现象,近几十年来在芯片设计中得到了广泛的研究和建模。最近,封装密度的增加推动了芯片之外的EM研究。在晶圆级Fan-In和Wafer - Level Fan-Out设计中,由于焊料合金对EM的鲁棒性较差,EM研究主要集中在封装焊点上。在封装内部,再分布层(RDL)中的铜迹线没有被认为是关键的,因为RDL技术的最小横截面积具有非临界电流密度。然而,对封装小型化的持续需求要求更高的RDL密度,线/空间($mathrm {L}/mathrm {S})lt 5mu mathrm {m}$正在开发用于扇出和$mathrm {L}/mathrm {S}lt 2 mu mathrm {m}$用于扇入)。由于RDL工艺的限制,L/S的减少会对轨迹的横截面产生二次减少,这可能对电磁可靠性产生重大影响。此外,虽然IC线嵌入在导热介质中,但RDL线建立在散热性差的电介质上,同样关键的是,扇出封装的区域/材料具有非常不同的导热性-硅(Si),模具化合物(MC),这会导致更热且不均匀的线路温度,从而导致不同的EM率。本文研究了RDL中的EM效应,并量化了其对Amkor的WLFI/WLFO技术的可靠性和产品预期寿命的影响。相对电阻的增加$Delta mathrm {R}/mathrm {R}$在高应力RDL Cu走线上进行了分析,建立在Si和MC单元上,以测试扇形封装中的极端条件。通过连续和开关循环温度测试,研究了热机械应力对EM的影响。结果表明,由于不同的散热能力,$Delta mathrm {R}/mathrm {R}$的增加速率非常不同,因此需要制定特定的RDL设计规则。在连续温度测试中,$Delta mathrm {R}/mathrm {R}$的线性增加表明,使用降解率(DR)以非常快速的方式表征电磁效应,而不是确定耗时且依赖于任意和启发式失效标准(例如,20% rise of $Delta mathrm {R}/mathrm {R})$. The linear extrapolation enabled by the DR also allowed the fast build-up of MTTF Weibull plots that would otherwise take several months to complete. A model for the DR, adapted from Black's model, was developed for the statistical estimation of mean DR for a given temperature and current density. In the on-off cycled temperature test, a stepwise behavior of $Delta mathrm {R}/mathrm {R}$ was observed, with general reduction of net MTTF, while DR acceleration was only observed on the MC units, pointing to external thermomechanical-induced effects on the measured $Delta mathrm {R}/mathrm {R}$, which are filtered by the DR analysis.
{"title":"Characterization of Electromigration Effects in RDL of Wafer Level Fan-In and Fan-Out Packaging Using a Novel Analysis Approach","authors":"A. Cardoso, S. Martins, A. Gouvêa","doi":"10.1109/ESTC.2018.8546481","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546481","url":null,"abstract":"Electromigration (EM) is an important phenomenon in microelectronics, widely studied and modeled in chip design in the last decades. More recently, the increase of packaging density pushed EM studies outside of the chip. In Wafer Level Fan-In and Wafer Level Fan-Out designs, EM studies have focused on the package solderjoins, due to the poor robustness of solder alloys to EM. Inside the package, the copper traces in the redistribution layer (RDL) have not been considered critical because the minimum cross-sectional area of RDL technology had non-critical current densities. However, the constant demand for packaging miniaturization is requiring even higher RDL densities, with line/space ($mathrm {L}/mathrm {S})lt 5mu mathrm {m}$ under development for fan-out and $mathrm {L}/mathrm {S}lt 2 mu mathrm {m}$ for fan-in. Due to RDL process limitations, L/S reduction carries a quadratic reduction on the trace's cross-section, which can have a significant impact on EM reliability. Moreover, while IC lines are embedded in a thermally-conductive medium, RDL lines are built on dielectrics with poor heat dissipation and, also critical, fan-out packages have areas/ materials with very different thermal conductivity - silicon (Si), mold compound (MC), which lead to hotter and uneven line temperatures and consequently different EM rates.This paper studies the EM effects in RDL and quantifies its impact on reliability and product life expectancy for Amkor's WLFI/WLFO technologies. The increase of relative resistance, $Delta mathrm {R}/mathrm {R}$, was analyzed on highly stressed RDL Cu traces, built over Si and MC units to test the extreme conditions in fan-out packages. Both continuous and on-off cycled temperature tests were conducted to investigate the thermomechanical stress impact on EM. The results showed very different increase rates of $Delta mathrm {R}/mathrm {R}$ due to the very different thermal dissipation abilities, identifying the need for specific RDL design rules. In the continuous temperature tests, the fairly linear increase of $Delta mathrm {R}/mathrm {R}$ suggested the use of a degradation rate (DR) to characterize the EM effects in a very fast way, instead of determining mean time to failure (MTTF) figures that are time-consuming and depend on arbitrary and heuristic failure criteria (e.g., 20% rise of $Delta mathrm {R}/mathrm {R})$. The linear extrapolation enabled by the DR also allowed the fast build-up of MTTF Weibull plots that would otherwise take several months to complete. A model for the DR, adapted from Black's model, was developed for the statistical estimation of mean DR for a given temperature and current density. In the on-off cycled temperature test, a stepwise behavior of $Delta mathrm {R}/mathrm {R}$ was observed, with general reduction of net MTTF, while DR acceleration was only observed on the MC units, pointing to external thermomechanical-induced effects on the measured $Delta mathrm {R}/mathrm {R}$, which are filtered by","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120810750","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Bus-based, miniaturized multi-sensory catheter system 基于总线的小型化多感官导管系统
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546376
W. David, B. Philipp, B. Sven, Petrova Hanna, F. Sebastian, Schumann Ulrich, Schmidt Bertram, Detert Markus
One trend in medical technology is the continuous miniaturization of components, assemblies and complete systems. Such complete systems are functionalized catheters with different sensor and actuator components [1–8]. If even the smallest bottlenecks, for example in blood vessels, are to be reached in the human body, the catheter diameter must be further reduced with the same functionalization. A new approach is chosen, which does not apply the supply and signal lines to the catheter surface or insert them into the existing lumen, but becomes a direct and inseparable part of the catheter. Contacting these conducting tracks with the electronic components is one of the key processes in the manufacture of this type of catheter. A final demonstrator is used for functional testing.
医疗技术的一个趋势是组件、组件和完整系统的不断小型化。这种完整的系统是具有不同传感器和执行器组件的功能化导管[1-8]。如果要达到人体最小的瓶颈,例如血管,则必须在相同的功能下进一步减小导管直径。我们选择了一种新的方法,它不将供电线和信号线应用于导管表面,也不将它们插入到现有的管腔中,而是直接成为导管不可分割的一部分。将这些导线与电子元件接触是制造此类导管的关键工序之一。最后的演示器用于功能测试。
{"title":"Bus-based, miniaturized multi-sensory catheter system","authors":"W. David, B. Philipp, B. Sven, Petrova Hanna, F. Sebastian, Schumann Ulrich, Schmidt Bertram, Detert Markus","doi":"10.1109/ESTC.2018.8546376","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546376","url":null,"abstract":"One trend in medical technology is the continuous miniaturization of components, assemblies and complete systems. Such complete systems are functionalized catheters with different sensor and actuator components [1–8]. If even the smallest bottlenecks, for example in blood vessels, are to be reached in the human body, the catheter diameter must be further reduced with the same functionalization. A new approach is chosen, which does not apply the supply and signal lines to the catheter surface or insert them into the existing lumen, but becomes a direct and inseparable part of the catheter. Contacting these conducting tracks with the electronic components is one of the key processes in the manufacture of this type of catheter. A final demonstrator is used for functional testing.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116087021","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Tailoring the Cu6Sn5 layer texture with Ni additions in Sn-Ag-Cu based solder joints 在Sn-Ag-Cu基焊点中添加Ni以定制Cu6Sn5层纹理
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546474
Yu-Ching Hsu, G. Zeng, J. Xian, S. Belyakov, C. Gourlay
The orientation of Cu6Sn5 in the reaction layer can influence the electrical conductivity and mechanical properties of solder joints. This paper explores the role of Ni on the growth orientation of the Cu6Sn5 layer on Cu and Ni substrates. The crystallographic texture of the Cu6Sn5 layer is measured by electron backscatter diffraction analysis (EBSD). It is shown that the Cu6Sn5 layer grows with a strong {0001} fibre texture when Sn-3.0Ag-0.5Cu-xNi (wt.%) alloys are soldered to Cu substrates, and that the Cu6Sn5 growth texture changes to a {1010} fibre texture when Sn-3Ag-0.5Cu-xNi (wt.%) is soldered to Ni. The Cu6Sn5 composition and nickel distribution are examined and the thickness, grain size and the growth facets of Cu6Sn5 crystals in the layer are investigated to understand the effects of nickel content on the Cu6Sn5 layer morphology and texture. The results are used to discuss the potential of using Ni as a means to control the texture of the intermetallic layer.
Cu6Sn5在反应层中的取向会影响焊点的电导率和力学性能。本文探讨了Ni对Cu和Ni衬底上Cu6Sn5层生长取向的影响。利用电子背散射衍射分析(EBSD)测量了Cu6Sn5层的晶体织构。结果表明,当Sn-3.0Ag-0.5Cu-xNi (wt.%)合金与Cu基体焊接时,Cu6Sn5层生长为强{0001}纤维织构;当Sn-3Ag-0.5Cu-xNi (wt.%)合金与Ni基体焊接时,Cu6Sn5层生长为{1010}纤维织构。研究了Cu6Sn5的组成和镍的分布,研究了层中Cu6Sn5晶体的厚度、晶粒尺寸和生长方面,以了解镍含量对Cu6Sn5层形貌和织构的影响。结果用于讨论利用Ni作为控制金属间层织构的手段的潜力。
{"title":"Tailoring the Cu6Sn5 layer texture with Ni additions in Sn-Ag-Cu based solder joints","authors":"Yu-Ching Hsu, G. Zeng, J. Xian, S. Belyakov, C. Gourlay","doi":"10.1109/ESTC.2018.8546474","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546474","url":null,"abstract":"The orientation of Cu6Sn5 in the reaction layer can influence the electrical conductivity and mechanical properties of solder joints. This paper explores the role of Ni on the growth orientation of the Cu<inf>6</inf>Sn<inf>5</inf> layer on Cu and Ni substrates. The crystallographic texture of the Cu6Sn5 layer is measured by electron backscatter diffraction analysis (EBSD). It is shown that the Cu<inf>6</inf>Sn<inf>5</inf> layer grows with a strong {0001} fibre texture when Sn-3.0Ag-0.5Cu-xNi (wt.%) alloys are soldered to Cu substrates, and that the Cu6Sn5 growth texture changes to a {1010} fibre texture when Sn-3Ag-0.5Cu-xNi (wt.%) is soldered to Ni. The Cu<inf>6</inf>Sn<inf>5</inf> composition and nickel distribution are examined and the thickness, grain size and the growth facets of Cu<inf>6</inf>Sn<inf>5</inf> crystals in the layer are investigated to understand the effects of nickel content on the Cu<inf>6</inf>Sn<inf>5</inf> layer morphology and texture. The results are used to discuss the potential of using Ni as a means to control the texture of the intermetallic layer.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116134392","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Can Bond Wires really be used as Antennas? 键合线真的可以用作天线吗?
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546432
I. Ndip, K. Becker, F. Brandenburger, T. H. Le, M. Huhn, J. Bauer, M. Koch, M. Hempel, M. Schneider-Ramelow, K. Lang
We model, design, fabricate and measure bond wire antennas (BWAs) at mmWave frequencies, considering the impact of encapsulation and process variations which occur during fabrication. Our results reveal that BWAs can withstand the fabrication process, and retain their impedance and radiation characteristics, if properly designed. Therefore, we believe that bond wires can be used as antennas for the development of real-world wireless systems.
我们在毫米波频率下建模,设计,制造和测量键合线天线(bwa),考虑封装和制造过程中发生的工艺变化的影响。我们的研究结果表明,如果设计得当,bwa可以承受制造过程,并保持其阻抗和辐射特性。因此,我们相信键合线可以用作天线,用于开发现实世界的无线系统。
{"title":"Can Bond Wires really be used as Antennas?","authors":"I. Ndip, K. Becker, F. Brandenburger, T. H. Le, M. Huhn, J. Bauer, M. Koch, M. Hempel, M. Schneider-Ramelow, K. Lang","doi":"10.1109/ESTC.2018.8546432","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546432","url":null,"abstract":"We model, design, fabricate and measure bond wire antennas (BWAs) at mmWave frequencies, considering the impact of encapsulation and process variations which occur during fabrication. Our results reveal that BWAs can withstand the fabrication process, and retain their impedance and radiation characteristics, if properly designed. Therefore, we believe that bond wires can be used as antennas for the development of real-world wireless systems.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116451806","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
The Effect of Surface Optimization on Post-grinding Yield of 200 mm Wafer Level Packaging Applications 表面优化对200mm晶圆级封装研磨后成品率的影响
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546457
M. Inac, M. Wietstruck, A. Göritz, B. Çetindoğan, C. Baristiran-Kaynak, M. Lisker, A. Krüger, U. Saarow, P. Heinrich, T. Voß, Kasim Altin, M. Kaynak
In this paper, a yield increase on post-grinding of 200 mm wafer level packaging applications is presented. 200 mm wafer level plasma enhanced oxide-oxide direct bonding and wafer grinding are used in the packaging of the wafers. Since the surface conditions of the wafers that are used in the packaging is the most critical point of the wafer bonding and grinding processes, it is focussed to optimizing wafer surfaces to increase the yield. After the optimizations on the surface conditions of the BiCMOS wafer used in the packaging, the 200 mm plasma enhanced wafer to wafer direct bonding yield increases from 0% to 99% and at the same time the post-grinding yield of these wafers increases from 0% to over 90%.
本文介绍了在200mm晶圆级封装应用中,后磨能提高成品率的方法。晶圆封装采用200mm晶圆级等离子体增强氧化-氧化直接结合和晶圆研磨工艺。由于用于封装的晶圆的表面状况是晶圆键合和研磨过程中最关键的一点,因此重点关注优化晶圆表面以提高良率。对封装用BiCMOS晶圆的表面条件进行优化后,200 mm等离子体增强的晶圆间直接键合成品率从0%提高到99%,同时这些晶圆的研磨后成品率从0%提高到90%以上。
{"title":"The Effect of Surface Optimization on Post-grinding Yield of 200 mm Wafer Level Packaging Applications","authors":"M. Inac, M. Wietstruck, A. Göritz, B. Çetindoğan, C. Baristiran-Kaynak, M. Lisker, A. Krüger, U. Saarow, P. Heinrich, T. Voß, Kasim Altin, M. Kaynak","doi":"10.1109/ESTC.2018.8546457","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546457","url":null,"abstract":"In this paper, a yield increase on post-grinding of 200 mm wafer level packaging applications is presented. 200 mm wafer level plasma enhanced oxide-oxide direct bonding and wafer grinding are used in the packaging of the wafers. Since the surface conditions of the wafers that are used in the packaging is the most critical point of the wafer bonding and grinding processes, it is focussed to optimizing wafer surfaces to increase the yield. After the optimizations on the surface conditions of the BiCMOS wafer used in the packaging, the 200 mm plasma enhanced wafer to wafer direct bonding yield increases from 0% to 99% and at the same time the post-grinding yield of these wafers increases from 0% to over 90%.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122342915","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fabrication and Testing of MEMS Technology Based Thermoelectric Generator 基于MEMS技术的热电发电机的制造与测试
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546445
A. Korotkov, V. Loboda, S. Dzyubanenko, E. Bakulin
The article deals with thermoelectric generators (TEG) to be designed for low-power applications, e.g. high temperature object monitoring systems. TEG operating principle is based on the Seebeck effect. The proposed technological process of TEG fabrication and testing results with the output specific power of 0.3–6.2 μW/sq.mm at the temperature range of 25–100 K are described.
本文讨论了用于低功耗应用的热电发电机(TEG),例如高温物体监测系统。TEG的工作原理是基于塞贝克效应。提出了制备TEG的工艺流程,并给出了输出比功率为0.3 ~ 6.2 μW/sq的测试结果。描述了25 - 100k温度范围内的mm。
{"title":"Fabrication and Testing of MEMS Technology Based Thermoelectric Generator","authors":"A. Korotkov, V. Loboda, S. Dzyubanenko, E. Bakulin","doi":"10.1109/ESTC.2018.8546445","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546445","url":null,"abstract":"The article deals with thermoelectric generators (TEG) to be designed for low-power applications, e.g. high temperature object monitoring systems. TEG operating principle is based on the Seebeck effect. The proposed technological process of TEG fabrication and testing results with the output specific power of 0.3–6.2 μW/sq.mm at the temperature range of 25–100 K are described.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127577670","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Low-Temperature Sintering Bimodal Micro Copper- Nano Silver For Electrical Power Devices 电力器件用低温烧结微铜-纳米银双峰
Pub Date : 2018-09-01 DOI: 10.1109/ESTC.2018.8546502
A. Zehri, L. Ye, Johan Liu
Copper is generally considered as an electronic packaging material due to its good electrical, thermal properties and relatively low cost. However, copper needs high processing temperature, which negatively affects the electronics reliability. In this paper, silver nanoparticles sintering is evaluated for the propose to decrease the processing temperature of copper. Different fractions of silver nanoparticles were mixed with 10 ×m Cu powder and sintered at temperatures of 250°C, 300°C, 400°C and 500°C, under low pressures 4MPa and 8MPa, and a high pressure of 100MPa for comparison. Densities from 45% to 94% of the density of bulk Cu have been achieved while the thermal and electrical conductivities have been evaluated and reached a value of around 270W/m.K and 1.41×106 S/m.
铜由于其良好的电学、热学性能和相对较低的成本,通常被认为是一种电子封装材料。然而,铜需要很高的加工温度,这对电子产品的可靠性产生了负面影响。本文对纳米银烧结工艺进行了评价,提出了降低铜加工温度的方法。将不同馏分的纳米银与10 ×m铜粉混合,在250℃、300℃、400℃和500℃的温度下,低压4MPa和8MPa,高压100MPa下进行对比烧结。密度达到了体铜密度的45%到94%,热导率和导电性已经得到了评估,达到了约270W/m的值。K和1.41×106 S/m。
{"title":"Low-Temperature Sintering Bimodal Micro Copper- Nano Silver For Electrical Power Devices","authors":"A. Zehri, L. Ye, Johan Liu","doi":"10.1109/ESTC.2018.8546502","DOIUrl":"https://doi.org/10.1109/ESTC.2018.8546502","url":null,"abstract":"Copper is generally considered as an electronic packaging material due to its good electrical, thermal properties and relatively low cost. However, copper needs high processing temperature, which negatively affects the electronics reliability. In this paper, silver nanoparticles sintering is evaluated for the propose to decrease the processing temperature of copper. Different fractions of silver nanoparticles were mixed with 10 ×m Cu powder and sintered at temperatures of 250°C, 300°C, 400°C and 500°C, under low pressures 4MPa and 8MPa, and a high pressure of 100MPa for comparison. Densities from 45% to 94% of the density of bulk Cu have been achieved while the thermal and electrical conductivities have been evaluated and reached a value of around 270W/m.K and 1.41×106 S/m.","PeriodicalId":198238,"journal":{"name":"2018 7th Electronic System-Integration Technology Conference (ESTC)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2018-09-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128832563","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2018 7th Electronic System-Integration Technology Conference (ESTC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1