首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Radical-based surface treatment and selective etch enabled by high density ICP remote plasma source 基于自由基的表面处理和选择性蚀刻由高密度ICP远程等离子体源实现
Pub Date : 2023-05-01 DOI: 10.1117/12.2662532
Qi Zhang, Haichun Yang, Y. Hsieh, Jiajun Chen, Jiaying Yang, T. Xie, Shanyu Wang, H. Chung
With Moore’s law continues to drive IC feature size and device density, advanced technology evolves to enable not only smaller feature size but also 3D structures for logic and memory chipmakers.1 The associated process requires precise surface/interface functionality and material loss control, as a result plasma damage free process and isotropic etch with high selectivity became crucial for advanced 3D transistor manufacturing. High density radical based processes provide ideal solutions with very low electron temperature, excellent step coverage and ultra-high selectivity. The highly reactive radicals can largely reduce thermal budget as well. In this article radical based surface treatments and material modifications including metal treatment, surface reduction and surface smoothing are discussed. Furthermore, the benefits of combining such surface treatment and radical based selective etch are also presented with examples of Si and TiN etch processes. Both surface treatment and selective etch processes are enabled by high density ICP plasmas generated radicals.
随着摩尔定律继续推动IC特征尺寸和器件密度,先进技术的发展不仅可以实现更小的特征尺寸,还可以实现逻辑和存储芯片制造商的3D结构相关工艺需要精确的表面/界面功能和材料损耗控制,因此等离子体无损伤工艺和高选择性各向同性蚀刻对于先进的3D晶体管制造至关重要。高密度自由基基工艺提供了理想的解决方案,具有极低的电子温度,良好的步长覆盖和超高的选择性。高活性自由基也能大大减少热收支。本文讨论了基于自由基的表面处理和材料改性,包括金属处理、表面还原和表面平滑。此外,结合这种表面处理和自由基基选择性蚀刻的好处也提出了Si和TiN蚀刻工艺的例子。表面处理和选择性蚀刻工艺都是由高密度ICP等离子体产生的自由基实现的。
{"title":"Radical-based surface treatment and selective etch enabled by high density ICP remote plasma source","authors":"Qi Zhang, Haichun Yang, Y. Hsieh, Jiajun Chen, Jiaying Yang, T. Xie, Shanyu Wang, H. Chung","doi":"10.1117/12.2662532","DOIUrl":"https://doi.org/10.1117/12.2662532","url":null,"abstract":"With Moore’s law continues to drive IC feature size and device density, advanced technology evolves to enable not only smaller feature size but also 3D structures for logic and memory chipmakers.1 The associated process requires precise surface/interface functionality and material loss control, as a result plasma damage free process and isotropic etch with high selectivity became crucial for advanced 3D transistor manufacturing. High density radical based processes provide ideal solutions with very low electron temperature, excellent step coverage and ultra-high selectivity. The highly reactive radicals can largely reduce thermal budget as well. In this article radical based surface treatments and material modifications including metal treatment, surface reduction and surface smoothing are discussed. Furthermore, the benefits of combining such surface treatment and radical based selective etch are also presented with examples of Si and TiN etch processes. Both surface treatment and selective etch processes are enabled by high density ICP plasmas generated radicals.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128176765","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Key ingredients for manufacturing superconducting quantum processors at scale 大规模制造超导量子处理器的关键要素
Pub Date : 2023-05-01 DOI: 10.1117/12.2657319
Thorsten Last, M. Mongillo, T. Ivanov, Adriaan Rol, A. Lawrence, G. Alberts, D. Wan, A. Potočnik, K. De Greve
Computational ecosystems in which classical supercomputers and general-purpose quantum computers provide a steady increase in value-creating computation capabilities have shown immense progress in recent years. Superconducting qubit technology, in particular, has emerged as a leading candidate for realizing a scalable quantum computing platform ready for paving the way to commercial quantum advantage. However, current academic approaches in fabrication and testing of quantum devices are not scalable and have already started to limit the rapid development of the field. Novel solutions are required to tackle the combined challenge of increasing the qubit count on a quantum processor and the need to further reduce the qubit’s error rates. This, in turn, will lead to a renewed acceleration in qubit manufacturing, test and diagnostics. Here we present aspects of how to move superconducting qubit manufacturing and testing from small-scale laboratory to large-scale fabrication facility environments. To enable this transfer, two key ingredients are demonstrated: (i) A foundry-compatible fabrication process of superconducting qubits that can benefit from the advanced process control in industry-scale CMOS fabrication facilities, and (ii) an acceleration of testing and cryogenic measurement throughput by using a milli-Kelvin cryo-CMOS signal multiplexer operating in near proximity to quantum devices and integrated qubit diagnostic and benchmarking tools with end-to-end data analytics. Although some of these elements have been explored independently, co-development is crucial to enable an efficient scalable development cycle for quantum computing technology. A full development cycle consisting of scalable manufacturing, testing, and benchmarking will enable the large-scale fabrication and control of quantum computing devices and thus pave the way to commercial quantum advantage.
近年来,经典超级计算机和通用量子计算机提供了稳定增长的价值创造计算能力的计算生态系统取得了巨大进展。特别是超导量子比特技术,已经成为实现可扩展量子计算平台的主要候选者,为商业量子优势铺平了道路。然而,目前量子器件的制造和测试的学术方法是不可扩展的,并且已经开始限制该领域的快速发展。需要新颖的解决方案来应对增加量子处理器上的量子比特计数和进一步降低量子比特错误率的双重挑战。反过来,这将导致量子比特制造、测试和诊断的重新加速。在这里,我们介绍了如何将超导量子比特的制造和测试从小规模实验室转移到大规模制造设施环境的各个方面。为了实现这种转移,展示了两个关键要素:(i)超导量子比特的铸造厂兼容制造工艺,可以受益于工业规模CMOS制造设施的先进过程控制;(ii)通过使用靠近量子设备的毫开尔文低温CMOS信号多路复用器,以及集成的量子比特诊断和基准测试工具,加速测试和低温测量吞吐量,端到端数据分析。虽然其中一些元素已经独立探索,但共同开发对于实现量子计算技术的高效可扩展开发周期至关重要。由可扩展的制造、测试和基准测试组成的完整开发周期将使量子计算设备的大规模制造和控制成为可能,从而为商业量子优势铺平道路。
{"title":"Key ingredients for manufacturing superconducting quantum processors at scale","authors":"Thorsten Last, M. Mongillo, T. Ivanov, Adriaan Rol, A. Lawrence, G. Alberts, D. Wan, A. Potočnik, K. De Greve","doi":"10.1117/12.2657319","DOIUrl":"https://doi.org/10.1117/12.2657319","url":null,"abstract":"Computational ecosystems in which classical supercomputers and general-purpose quantum computers provide a steady increase in value-creating computation capabilities have shown immense progress in recent years. Superconducting qubit technology, in particular, has emerged as a leading candidate for realizing a scalable quantum computing platform ready for paving the way to commercial quantum advantage. However, current academic approaches in fabrication and testing of quantum devices are not scalable and have already started to limit the rapid development of the field. Novel solutions are required to tackle the combined challenge of increasing the qubit count on a quantum processor and the need to further reduce the qubit’s error rates. This, in turn, will lead to a renewed acceleration in qubit manufacturing, test and diagnostics. Here we present aspects of how to move superconducting qubit manufacturing and testing from small-scale laboratory to large-scale fabrication facility environments. To enable this transfer, two key ingredients are demonstrated: (i) A foundry-compatible fabrication process of superconducting qubits that can benefit from the advanced process control in industry-scale CMOS fabrication facilities, and (ii) an acceleration of testing and cryogenic measurement throughput by using a milli-Kelvin cryo-CMOS signal multiplexer operating in near proximity to quantum devices and integrated qubit diagnostic and benchmarking tools with end-to-end data analytics. Although some of these elements have been explored independently, co-development is crucial to enable an efficient scalable development cycle for quantum computing technology. A full development cycle consisting of scalable manufacturing, testing, and benchmarking will enable the large-scale fabrication and control of quantum computing devices and thus pave the way to commercial quantum advantage.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129815758","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Chemical trimming overcoat: an advanced spin-on process for photoresist enhancement in EUV lithography 化学修整涂层:一种在极紫外光刻中用于增强光刻胶的先进旋上工艺
Pub Date : 2023-05-01 DOI: 10.1117/12.2658882
Xisen Hou, Yinjie Cen, Paul Baranowsky, D. Kang, Cong Liu, C. Xu
The semiconductor industry is on the rise of maturing EUV lithography in high volume manufacturing (HVM). There remain, however, challenges to be overcome in the advancement of photoresist to improve yield and reduce cost of ownership. Herein, we report a novel chemical trimming overcoat process as a post-lithography spin-on solution to enhance EUV photoresist performance, enabling effective photospeed reduction as well as process window enhancement, such as reducing bridging defect at underdose. This is a highly versatile and tunable process for most chemically amplified photoresists, therefore allowing it to become a general process for a wide range of applications across EUV lithography.
半导体行业在大批量生产(HVM)中成熟的EUV光刻技术正在崛起。然而,为了提高产量和降低拥有成本,光阻剂的发展仍有许多挑战需要克服。在此,我们报告了一种新的化学修整涂层工艺作为光刻后自旋解决方案,以提高EUV光刻胶性能,实现有效的光电速度降低和工艺窗口增强,例如减少剂量不足时的桥接缺陷。对于大多数化学放大光刻胶来说,这是一种高度通用和可调的工艺,因此使其成为EUV光刻广泛应用的通用工艺。
{"title":"Chemical trimming overcoat: an advanced spin-on process for photoresist enhancement in EUV lithography","authors":"Xisen Hou, Yinjie Cen, Paul Baranowsky, D. Kang, Cong Liu, C. Xu","doi":"10.1117/12.2658882","DOIUrl":"https://doi.org/10.1117/12.2658882","url":null,"abstract":"The semiconductor industry is on the rise of maturing EUV lithography in high volume manufacturing (HVM). There remain, however, challenges to be overcome in the advancement of photoresist to improve yield and reduce cost of ownership. Herein, we report a novel chemical trimming overcoat process as a post-lithography spin-on solution to enhance EUV photoresist performance, enabling effective photospeed reduction as well as process window enhancement, such as reducing bridging defect at underdose. This is a highly versatile and tunable process for most chemically amplified photoresists, therefore allowing it to become a general process for a wide range of applications across EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126331755","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Nanoimprint post processing techniques to address edge placement error 纳米压印后处理技术,以解决边缘放置错误
Pub Date : 2023-05-01 DOI: 10.1117/12.2658125
Makoto Ogusu, Masaki Ishida, Masahiro Tamura, Keita Sakai, Toshiki Ito, Yuto Ito, I. Kawata, Hideki Kunugi, Shuhei Tamura, Ryuichi Asako, Keisuke Tanaka, Tomohito Yamaji
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. DRAM memory is challenging, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL an attractive solution. The purpose of this paper is to review the performance improvements related to edge placement error (EPE) for NIL. Key EPE components include overlay, local critical dimension uniformity (LCDU) and global critical dimension uniformity (GCDU). In this work, we review each component, summarize current capability and present a roadmap for improving EPE to meet future generations of DRAM devices. In addition, we present a reverse tone pattern transfer process that has the potential to further reduce GCDU and EPE for NIL.
压印光刻是一种有效且众所周知的纳米级特征复制技术。纳米压印(NIL)制造设备采用了一种图案化技术,该技术包括逐场沉积和通过喷射技术将低粘度抗蚀剂沉积到基板上。有图案的口罩被放入液体中,然后通过毛细管作用迅速流入口罩中的浮雕图案。在这个填充步骤之后,抗蚀剂在紫外线辐射下交联,然后去除掩模,在基材上留下图案抗蚀剂。与光刻设备相比,该技术以更高的分辨率和更大的均匀性忠实地再现图案。此外,由于该技术不需要宽直径透镜阵列和先进光刻设备所需的昂贵光源,因此NIL设备实现了更简单,更紧凑的设计,允许多个单元聚集在一起以提高生产率。先前的研究表明,NIL分辨率优于10nm,这使得该技术适用于用单个掩模打印几代关键记忆级。此外,仅在必要时应用抗蚀剂,从而消除了材料浪费。考虑到压印系统中没有复杂的光学器件,当与简单的单级处理和零浪费相结合时,工具成本的降低导致了对半导体存储器应用非常有吸引力的成本模型。DRAM存储器具有挑战性,因为DRAM的路线图要求持续扩展,最终达到14nm及以上的半间距。对于DRAM,一些关键层的覆盖比NAND闪存紧密得多,误差预算为最小半间距的15-20%。对于14nm,这意味着2.1-2.8nm。DRAM器件设计也具有挑战性,并且布局并不总是有利于SADP和SAQP等间距划分方法。这使得直接打印工艺(如NIL)成为一个有吸引力的解决方案。本文的目的是回顾与边缘放置误差(EPE)相关的NIL性能改进。EPE的关键组件包括覆盖层、局部临界尺寸均匀性(LCDU)和全局临界尺寸均匀性(GCDU)。在这项工作中,我们回顾了每个组件,总结了当前的能力,并提出了改进EPE以满足未来几代DRAM设备的路线图。此外,我们提出了一个反向音调模式转移过程,该过程有可能进一步降低NIL的GCDU和EPE。
{"title":"Nanoimprint post processing techniques to address edge placement error","authors":"Makoto Ogusu, Masaki Ishida, Masahiro Tamura, Keita Sakai, Toshiki Ito, Yuto Ito, I. Kawata, Hideki Kunugi, Shuhei Tamura, Ryuichi Asako, Keisuke Tanaka, Tomohito Yamaji","doi":"10.1117/12.2658125","DOIUrl":"https://doi.org/10.1117/12.2658125","url":null,"abstract":"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. DRAM memory is challenging, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL an attractive solution. The purpose of this paper is to review the performance improvements related to edge placement error (EPE) for NIL. Key EPE components include overlay, local critical dimension uniformity (LCDU) and global critical dimension uniformity (GCDU). In this work, we review each component, summarize current capability and present a roadmap for improving EPE to meet future generations of DRAM devices. In addition, we present a reverse tone pattern transfer process that has the potential to further reduce GCDU and EPE for NIL.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116101146","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
EUV-induced activation mechanism of photoacid generators: key factors affecting EUV sensitivity EUV诱导光酸发生器的活化机制:影响EUV敏感性的关键因素
Pub Date : 2023-05-01 DOI: 10.1117/12.2652345
Ji Young Park, Thanh Cuong Nguyen, Deakeon Kim, Hyun-Ji Song, Suk-Koo Hong, W. Son, Hyoshin Ahn, I. Jang, Dae Sin Kim
Theoretical lithography performance prediction of photoresist material has important role to design better material but the exact prediction was still difficult because there are too many conditions to be considered together. We investigated the EUV-induced photochemical reactions of conventional triphenylsulfonium (Ph3S+; TPS) PAG-cation in both “electron-trapping” and “internal excitation” mechanisms using atomic-scale materials modeling. By obtaining full energy profiles of protonation process of TPS molecule, we could find that the acid generation yield strongly depends on two main factors: the LUMO of PAG-cation in which the lower LUMO of PAG-cation, the reduction step of PAG-cation is easier and the proton (H+) dissociation ability (pKa) at the ortho-positions of thiol ether fragment cation(Ph2S+), in which lower pKa will give high acid generation. By matching computational analysis with experimental results, we developed a two-parameter model to predict the EUV exposure Dose from the target PAG–cation’s LUMO and pKa of thiol ether-derivatives. We applied our new model to other three sets of TPS samples and they also shows good correlation with experimental data. Finally, we proposed a strategy to design new PAG molecules for sensitivity improvement by functionalization of TSP-cation with electron donating group. Our new strategy can be a powerful tool to design novel PAG cation for EUV photoresist for improving Resolution-LER-Sensitivity trade-off.
光刻胶材料光刻性能的理论预测对设计更好的光刻材料具有重要作用,但由于需要综合考虑的条件太多,难以准确预测。研究了euv诱导的常规三苯磺酸(Ph3S+;TPS) pag -阳离子在“电子俘获”和“内部激发”机制中使用原子尺度材料建模。通过获得TPS分子质子化过程的完整能量谱,我们可以发现,产酸率主要取决于两个因素:pag -阳离子的LUMO(低LUMO的pag -阳离子,更容易还原pag -阳离子的步骤)和硫醚片段阳离子(Ph2S+)邻位的质子(H+)解离能力(pKa),其中低pKa会产生高的产酸率。通过计算分析与实验结果的匹配,我们建立了一个双参数模型来预测目标pag -阳离子的LUMO和硫醇醚衍生物的pKa的EUV照射剂量。我们将新模型应用到其他三组TPS样品中,它们也与实验数据有很好的相关性。最后,我们提出了一种设计新的PAG分子的策略,通过给电子基团的tsp -阳离子功能化来提高灵敏度。我们的新策略可以成为设计新型PAG阳离子用于EUV光刻胶的有力工具,以改善分辨率-灵敏度之间的权衡。
{"title":"EUV-induced activation mechanism of photoacid generators: key factors affecting EUV sensitivity","authors":"Ji Young Park, Thanh Cuong Nguyen, Deakeon Kim, Hyun-Ji Song, Suk-Koo Hong, W. Son, Hyoshin Ahn, I. Jang, Dae Sin Kim","doi":"10.1117/12.2652345","DOIUrl":"https://doi.org/10.1117/12.2652345","url":null,"abstract":"Theoretical lithography performance prediction of photoresist material has important role to design better material but the exact prediction was still difficult because there are too many conditions to be considered together. We investigated the EUV-induced photochemical reactions of conventional triphenylsulfonium (Ph3S+; TPS) PAG-cation in both “electron-trapping” and “internal excitation” mechanisms using atomic-scale materials modeling. By obtaining full energy profiles of protonation process of TPS molecule, we could find that the acid generation yield strongly depends on two main factors: the LUMO of PAG-cation in which the lower LUMO of PAG-cation, the reduction step of PAG-cation is easier and the proton (H+) dissociation ability (pKa) at the ortho-positions of thiol ether fragment cation(Ph2S+), in which lower pKa will give high acid generation. By matching computational analysis with experimental results, we developed a two-parameter model to predict the EUV exposure Dose from the target PAG–cation’s LUMO and pKa of thiol ether-derivatives. We applied our new model to other three sets of TPS samples and they also shows good correlation with experimental data. Finally, we proposed a strategy to design new PAG molecules for sensitivity improvement by functionalization of TSP-cation with electron donating group. Our new strategy can be a powerful tool to design novel PAG cation for EUV photoresist for improving Resolution-LER-Sensitivity trade-off.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132648377","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The evolution of heterogeneous integration and packaging for the age of chiplets 小芯片时代异构集成与封装的演变
Pub Date : 2023-05-01 DOI: 10.1117/12.2659730
S. Skordas
Ever since IBM pioneered microelectronics packaging, IBM Research has continued to innovate to ensure that packaging and heterogeneous integration technology is available to satisfy the needs for performance, complexity, and memory and logic density with regard to high performance computing systems. In this era of ever-expanding need for high-performance computing and ever-pervasive artificial intelligence, traditional scaling economics headwinds combined with the need for versatility and fast product development mandate a system-level approach to generate the efficiencies the industry has been able to provide in the past through more traditional scaling approaches. This system-level approach renders imperative the use of chiplet-based architectures and the use of heterogeneous integration and advanced packaging to achieve the disaggregation with best-performing and most efficient IP components to sustain a viable economic model while achieving performance targets. In this talk we will discuss several key process and integration considerations that drive the use of various horizontal and vertical interconnection technology elements that must be implemented to enable the successful realization of efficient and cost-effective high-performance systems in the AI era. Future progress on these technology fronts will depend on disruptive innovation in two critical areas: (a) wafer-level and die-level processes, such as lithographic patterning, wafer-wafer bonding and debonding, bond and assembly processes, etc. that can enable packaging of these heterogeneous structures without compromising performance and reliability, (b) commensurate improvements and enablement of adequate metrology and inspection solutions to address the challenges stemming from these new chiplet-interconnecting methods and the associated topographic implications.
自从IBM开创微电子封装以来,IBM研究院一直在不断创新,以确保封装和异构集成技术能够满足高性能计算系统对性能、复杂性、内存和逻辑密度的需求。在这个对高性能计算和人工智能需求不断扩大的时代,传统的规模经济逆风与对多功能性和快速产品开发的需求相结合,要求采用系统级方法来产生行业过去通过更传统的规模方法能够提供的效率。这种系统级方法使得使用基于芯片的架构、异构集成和先进封装成为当务之急,以实现性能最佳、效率最高的IP组件的分解,从而在实现性能目标的同时维持可行的经济模型。在本次演讲中,我们将讨论驱动各种水平和垂直互连技术元素使用的几个关键过程和集成考虑因素,这些技术元素必须实施,才能在人工智能时代成功实现高效且具有成本效益的高性能系统。这些技术前沿的未来进展将取决于两个关键领域的颠覆性创新:(a)晶片级和模具级工艺,如光刻图版、晶片-晶片粘合和脱粘、粘合和组装工艺等,可以在不影响性能和可靠性的情况下实现这些异质结构的封装;(b)相应的改进和实现适当的计量和检测解决方案,以解决这些新的晶片互连方法和相关的地形影响所带来的挑战。
{"title":"The evolution of heterogeneous integration and packaging for the age of chiplets","authors":"S. Skordas","doi":"10.1117/12.2659730","DOIUrl":"https://doi.org/10.1117/12.2659730","url":null,"abstract":"Ever since IBM pioneered microelectronics packaging, IBM Research has continued to innovate to ensure that packaging and heterogeneous integration technology is available to satisfy the needs for performance, complexity, and memory and logic density with regard to high performance computing systems. In this era of ever-expanding need for high-performance computing and ever-pervasive artificial intelligence, traditional scaling economics headwinds combined with the need for versatility and fast product development mandate a system-level approach to generate the efficiencies the industry has been able to provide in the past through more traditional scaling approaches. This system-level approach renders imperative the use of chiplet-based architectures and the use of heterogeneous integration and advanced packaging to achieve the disaggregation with best-performing and most efficient IP components to sustain a viable economic model while achieving performance targets. In this talk we will discuss several key process and integration considerations that drive the use of various horizontal and vertical interconnection technology elements that must be implemented to enable the successful realization of efficient and cost-effective high-performance systems in the AI era. Future progress on these technology fronts will depend on disruptive innovation in two critical areas: (a) wafer-level and die-level processes, such as lithographic patterning, wafer-wafer bonding and debonding, bond and assembly processes, etc. that can enable packaging of these heterogeneous structures without compromising performance and reliability, (b) commensurate improvements and enablement of adequate metrology and inspection solutions to address the challenges stemming from these new chiplet-interconnecting methods and the associated topographic implications.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133242954","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Influence of the anion in tin-based EUV photoresists properties 阴离子对锡基EUV光刻胶性能的影响
Pub Date : 2023-05-01 DOI: 10.1117/12.2658498
Q. Evrard, N. Sadegh, C. Hsu, N. Mahne, A. Giglia, S. Nannarone, Y. Ekinci, M. Vockenhuber, A. Nishimura, T. Goya, T. Sugioka, A. Brouwer
In this work we assess the effect of the change of counter-anions on the photolithography properties of butyl-Sn12 oxo hydroxo cages. The hydroxide anions were exchanged with tetrakis(pentafluorophenyl)borate (B(PFP)4)- and (phenyl) trifluoroborate (BF3Ph)- anions which exhibit a photoabsorption cross section at 92 eV that is similar to that of the butyl-Sn12 oxo hydroxo cages. The degradation of the EUV photoresist was monitored via in-situ EUV exposure followed by X-ray photoelectron spectroscopy (XPS) at the BEAR beamline (Elettra, Italy) at the C1s-edge. Both systems exhibit similar carbon losses of around 25% for 100 mJ/cm2 dose. The Sn12 cluster with acetate anions, as a reference compound, exhibit a loss of C1s XPS signal from the butyl chains of around 23% for the same 100 mJ/cm2 EUV exposure dose indicating a larger degradation of the Sn12 cluster for the latter. We also evaluated the patterning performance of the Sn12(B(PFP)4) resist via interference lithography at the XIL-II beamline (PSI, Switzerland) and found the positive tone character of the resist and its ability to write lines with 50 nm half pitch resolution for doses of 30 mJ/cm2. In contrast, Sn12(BF3Ph) acts as a sensitive negative tone resist, with doses of 12.5 mJ/cm2 sufficient to write 50 nm half pitch lines.
在这项工作中,我们评估了反阴离子的变化对丁基- sn12氧羟基笼的光刻性能的影响。氢氧根阴离子与四(五氟苯基)硼酸盐(B(PFP)4)-和(苯基)三氟硼酸盐(BF3Ph)-阴离子交换,在92 eV下表现出与丁基- sn12氧羟基笼相似的光吸收截面。通过原位EUV暴露,然后在BEAR光束线(Elettra, Italy)的c1s边缘处使用x射线光电子能谱(XPS)监测EUV光刻胶的降解。当剂量为100 mJ/cm2时,两种系统的碳损失相似,均在25%左右。在同样的100mj /cm2的EUV照射剂量下,含乙酸阴离子的Sn12簇作为参考化合物,其丁基链的C1s XPS信号损失约23%,表明后者对Sn12簇的降解更大。我们还通过干涉光刻技术在XIL-II光束线(PSI,瑞士)上评估了Sn12(B(PFP)4)抗蚀剂的图案性能,发现了抗蚀剂的正音调特征及其在30 mJ/cm2剂量下以50 nm半间距分辨率书写线条的能力。相反,Sn12(BF3Ph)作为一种敏感的负音调抗蚀剂,12.5 mJ/cm2的剂量足以写出50 nm的半间距线。
{"title":"Influence of the anion in tin-based EUV photoresists properties","authors":"Q. Evrard, N. Sadegh, C. Hsu, N. Mahne, A. Giglia, S. Nannarone, Y. Ekinci, M. Vockenhuber, A. Nishimura, T. Goya, T. Sugioka, A. Brouwer","doi":"10.1117/12.2658498","DOIUrl":"https://doi.org/10.1117/12.2658498","url":null,"abstract":"In this work we assess the effect of the change of counter-anions on the photolithography properties of butyl-Sn12 oxo hydroxo cages. The hydroxide anions were exchanged with tetrakis(pentafluorophenyl)borate (B(PFP)4)- and (phenyl) trifluoroborate (BF3Ph)- anions which exhibit a photoabsorption cross section at 92 eV that is similar to that of the butyl-Sn12 oxo hydroxo cages. The degradation of the EUV photoresist was monitored via in-situ EUV exposure followed by X-ray photoelectron spectroscopy (XPS) at the BEAR beamline (Elettra, Italy) at the C1s-edge. Both systems exhibit similar carbon losses of around 25% for 100 mJ/cm2 dose. The Sn12 cluster with acetate anions, as a reference compound, exhibit a loss of C1s XPS signal from the butyl chains of around 23% for the same 100 mJ/cm2 EUV exposure dose indicating a larger degradation of the Sn12 cluster for the latter. We also evaluated the patterning performance of the Sn12(B(PFP)4) resist via interference lithography at the XIL-II beamline (PSI, Switzerland) and found the positive tone character of the resist and its ability to write lines with 50 nm half pitch resolution for doses of 30 mJ/cm2. In contrast, Sn12(BF3Ph) acts as a sensitive negative tone resist, with doses of 12.5 mJ/cm2 sufficient to write 50 nm half pitch lines.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115151712","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mitigating stochastics in EUV lithography by directed self-assembly 利用定向自组装技术减轻EUV光刻中的随机性
Pub Date : 2023-05-01 DOI: 10.1117/12.2657939
L. Verstraete, H. Suh, Julie Van Bel, Purnota Hannan Timi, Rémi Vallat, P. Bézard, J. Vandereyken, Matteo Beggiato, A. Tamaddon, C. Beral, Waikin Li, Mihir Gupta, R. Fallica
Owing to photon shot noise and inhomogeneous distribution of the molecular components in a chemically amplified resist, resist patterns defined by extreme ultraviolet (EUV) lithography tend to suffer from stochastic variations. These stochastic variations are becoming more severe as critical dimensions continue to scale down, and can thus be expected to be a major challenge for the future use of single exposure EUV lithography. Complementing EUV lithography with directed self-assembly (DSA) of block-copolymers provides an interesting opportunity to mitigate the variability related to EUV stochastics. In this work, the DSA rectification process at imec is described for both line/space (L/S) and hexagonal contact hole (HEXCH) patterns. The benefits that rectification can bring, as well as the challenges for further improvement are being addressed based on the current status of imec’s rectification process.
由于光子噪声和化学放大抗蚀剂中分子成分的不均匀分布,极紫外光刻技术定义的抗蚀剂图案容易发生随机变化。随着关键尺寸的不断缩小,这些随机变化变得越来越严重,因此可以预期,这将是单曝光EUV光刻技术未来使用的主要挑战。用嵌段共聚物的定向自组装(DSA)来补充EUV光刻技术,为减轻EUV随机性相关的可变性提供了一个有趣的机会。在这项工作中,描述了线/空间(L/S)和六边形接触孔(HEXCH)模式的DSA整流过程。根据imec整改过程的现状,解决整改带来的好处,以及进一步改进的挑战。
{"title":"Mitigating stochastics in EUV lithography by directed self-assembly","authors":"L. Verstraete, H. Suh, Julie Van Bel, Purnota Hannan Timi, Rémi Vallat, P. Bézard, J. Vandereyken, Matteo Beggiato, A. Tamaddon, C. Beral, Waikin Li, Mihir Gupta, R. Fallica","doi":"10.1117/12.2657939","DOIUrl":"https://doi.org/10.1117/12.2657939","url":null,"abstract":"Owing to photon shot noise and inhomogeneous distribution of the molecular components in a chemically amplified resist, resist patterns defined by extreme ultraviolet (EUV) lithography tend to suffer from stochastic variations. These stochastic variations are becoming more severe as critical dimensions continue to scale down, and can thus be expected to be a major challenge for the future use of single exposure EUV lithography. Complementing EUV lithography with directed self-assembly (DSA) of block-copolymers provides an interesting opportunity to mitigate the variability related to EUV stochastics. In this work, the DSA rectification process at imec is described for both line/space (L/S) and hexagonal contact hole (HEXCH) patterns. The benefits that rectification can bring, as well as the challenges for further improvement are being addressed based on the current status of imec’s rectification process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123253646","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Towards molecular-scale kinetic Monte Carlo simulation of pattern formation in photoresist materials for EUV nanolithography 用于EUV纳米光刻的光刻胶中图案形成的分子尺度动力学蒙特卡罗模拟
Pub Date : 2023-05-01 DOI: 10.1117/12.2658404
Lois Fernandez Miguez, P. Bobbert, R. Coehoorn
Modelling the pattern formation process in photoresist materials for extreme ultraviolet (EUV) lithography in a stochastic and mechanistic manner, with molecular-scale resolution, should enable predicting the effect of variations of material parameters and process conditions, leading to insights into the ultimate resolution limits. In this work, we present the results of the first steps toward that goal. We describe the physics of the development with time of cascades of electrons and holes, created by the stochastic absorption of 92 eV photons, using a kinetic Monte Carlo model with molecular resolution. The thin film material is modelled assuming a cubic array of lattice sites, at a distance that is consistent with the molecular density of the photoresist material that is considered. The simulation of the cascading process is based on the experimental optical energy loss function, extended to include also excitations with momentum transfer. The method allows for including the Coulomb interactions between charges. In contrast to earlier work, within which the high-energy electrons move ballistically until scattering takes place, the trajectories are in our model formed by stochastically determined interconnected molecular sites. In future extensions of the model, this approach will facilitate including in a natural way a transition from delocalized electron transport at high energies to hopping transport of localized electrons at low energies. The simulations are used to study the sensitivity of the average number of degradations per absorbed photon and the average electron blur length on the rates of elastic scattering and of molecular degradation, and on the energy that is lost upon a molecular degradation process.
以随机和机械的方式模拟极紫外(EUV)光刻的光刻胶材料的图案形成过程,具有分子尺度的分辨率,应该能够预测材料参数和工艺条件变化的影响,从而深入了解最终的分辨率限制。在这项工作中,我们展示了朝着这一目标迈出的第一步的结果。我们使用具有分子分辨率的动力学蒙特卡罗模型描述了由92 eV光子的随机吸收产生的电子和空穴级联随时间发展的物理过程。薄膜材料的模型假设晶格位置的立方阵列,在与所考虑的光刻胶材料的分子密度一致的距离。级联过程的模拟是基于实验光学能量损失函数,扩展到包含动量传递的激励。该方法允许包括电荷之间的库仑相互作用。在早期的研究中,高能电子以弹道的方式运动,直到散射发生,而在我们的模型中,轨迹是由随机确定的相互连接的分子位点形成的。在模型的未来扩展中,这种方法将有助于以一种自然的方式包括从高能量的离域电子输运到低能的局域电子跳变输运的转变。利用模拟研究了每个吸收光子的平均降解次数和平均电子模糊长度对弹性散射率和分子降解率的敏感性,以及对分子降解过程中损失的能量的敏感性。
{"title":"Towards molecular-scale kinetic Monte Carlo simulation of pattern formation in photoresist materials for EUV nanolithography","authors":"Lois Fernandez Miguez, P. Bobbert, R. Coehoorn","doi":"10.1117/12.2658404","DOIUrl":"https://doi.org/10.1117/12.2658404","url":null,"abstract":"Modelling the pattern formation process in photoresist materials for extreme ultraviolet (EUV) lithography in a stochastic and mechanistic manner, with molecular-scale resolution, should enable predicting the effect of variations of material parameters and process conditions, leading to insights into the ultimate resolution limits. In this work, we present the results of the first steps toward that goal. We describe the physics of the development with time of cascades of electrons and holes, created by the stochastic absorption of 92 eV photons, using a kinetic Monte Carlo model with molecular resolution. The thin film material is modelled assuming a cubic array of lattice sites, at a distance that is consistent with the molecular density of the photoresist material that is considered. The simulation of the cascading process is based on the experimental optical energy loss function, extended to include also excitations with momentum transfer. The method allows for including the Coulomb interactions between charges. In contrast to earlier work, within which the high-energy electrons move ballistically until scattering takes place, the trajectories are in our model formed by stochastically determined interconnected molecular sites. In future extensions of the model, this approach will facilitate including in a natural way a transition from delocalized electron transport at high energies to hopping transport of localized electrons at low energies. The simulations are used to study the sensitivity of the average number of degradations per absorbed photon and the average electron blur length on the rates of elastic scattering and of molecular degradation, and on the energy that is lost upon a molecular degradation process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124209138","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Modification of organic underlayers by plasma during dry etching and its effect on the film properties 干刻蚀过程中等离子体对有机底层的改性及其对薄膜性能的影响
Pub Date : 2023-05-01 DOI: 10.1117/12.2658118
Soojung Leem, Jae Hwan Sim, Youngeun Bae
The manufacturing process of advanced logic devices has become ever more challenging than before due to continued shrinkage in dimensions from scaling down and increased complexity from the integration of new transistor structures such as gate-all-around (GAA). Underlayers are utilized as a mask to protect targeted device structures while selected areas of deposited metal is removed by wet etchant during replacement metal gate (RMG) process to construct the transistor. Reported studies describing the developmental strategies for such underlayers have been mostly focused on how to strengthen the adhesion towards the substrate with the designed film properties. In this paper, we identify the effect of plasma during dry etching of the RMG process as the factor to be considered in designing of the wet etch resistant underlayer. Physical and chemical properties of organic films after dry etching with plasmas of different gases have been investigated using various analysis techniques, and the subsequent effect of plasma-modification on the film properties such as resistance towards wet chemicals for various films was evaluated.
先进逻辑器件的制造过程变得比以前更具挑战性,因为尺寸不断缩小,以及新型晶体管结构(如栅极全能(GAA))的集成增加了复杂性。衬底层用作掩膜来保护目标器件结构,而在更换金属栅极(RMG)过程中,通过湿蚀刻去除沉积金属的选定区域以构建晶体管。已有的研究主要集中在如何利用设计的薄膜性能来增强对基材的粘附性。在本文中,我们确定了等离子体在RMG工艺干蚀刻过程中的影响,作为设计耐湿蚀刻底层时需要考虑的因素。利用各种分析技术研究了不同气体等离子体干蚀刻后有机薄膜的物理和化学性能,并评估了等离子体修饰对薄膜性能的后续影响,例如各种薄膜对湿化学品的抗性。
{"title":"Modification of organic underlayers by plasma during dry etching and its effect on the film properties","authors":"Soojung Leem, Jae Hwan Sim, Youngeun Bae","doi":"10.1117/12.2658118","DOIUrl":"https://doi.org/10.1117/12.2658118","url":null,"abstract":"The manufacturing process of advanced logic devices has become ever more challenging than before due to continued shrinkage in dimensions from scaling down and increased complexity from the integration of new transistor structures such as gate-all-around (GAA). Underlayers are utilized as a mask to protect targeted device structures while selected areas of deposited metal is removed by wet etchant during replacement metal gate (RMG) process to construct the transistor. Reported studies describing the developmental strategies for such underlayers have been mostly focused on how to strengthen the adhesion towards the substrate with the designed film properties. In this paper, we identify the effect of plasma during dry etching of the RMG process as the factor to be considered in designing of the wet etch resistant underlayer. Physical and chemical properties of organic films after dry etching with plasmas of different gases have been investigated using various analysis techniques, and the subsequent effect of plasma-modification on the film properties such as resistance towards wet chemicals for various films was evaluated.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125429642","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1