首页 > 最新文献

Advanced Lithography最新文献

英文 中文
EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study 使用嵌段共聚物定向自组装的EUV光刻线空间图案整流:粗糙度和缺陷研究
Pub Date : 2023-05-01 DOI: 10.1117/12.2657990
Julie Van Bel, L. Verstraete, H. Suh, S. De Gendt, P. Bézard, J. Vandereyken, Waikin Li, Matteo Beggiato, A. Tamaddon, C. Beral, Andreia Santos, Boaz Alperson, Y. Her
For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.
为了打印半导体器件中最关键的特征,单曝光极紫外(EUV)光刻技术正在迅速发展,以取代基于ArF浸入式的多图像化方法。然而,从193nm到13.5 nm光的转换严重限制了给定源功率产生的光子数量,导致在EUV模式下的光子散粒噪声。此外,传统光刻胶内部成分的不均匀分布增加了印刷的可变性,特别是当关键尺寸继续缩小时。因此,导致粗糙、不均匀和潜在缺陷图案的随机问题已成为EUV光刻的主要挑战。对于这种自上而下的模式方法,一个很有前途的解决方案是用嵌段共聚物的自下而上定向自组装(DSA)来补充它。与193i光刻技术相结合,层状嵌段共聚物的DSA先前已经显示出使用LiNe flow定义密集线空间图案的有利结果在这项研究中,我们研究了EUV + DSA在整流间距28 nm线空间模式中的互补性。粗糙度和缺陷是需要控制的关键因素,以使这些模式具有工业相关性。我们研究了DSA材料和加工参数对线边缘粗糙度和线宽度粗糙度的影响,以识别和减轻图案粗糙度的来源。另一方面,我们也评估了通过光学缺陷检测和电子束评审所观察到的不同类型的缺陷模式,并研究了其形成的根本原因。综上所述,通过比较EUV + DSA与LiNe流来展示1X DSA与3X DSA的优势。
{"title":"EUV lithography line-space pattern rectification using block copolymer directed self-assembly: a roughness and defectivity study","authors":"Julie Van Bel, L. Verstraete, H. Suh, S. De Gendt, P. Bézard, J. Vandereyken, Waikin Li, Matteo Beggiato, A. Tamaddon, C. Beral, Andreia Santos, Boaz Alperson, Y. Her","doi":"10.1117/12.2657990","DOIUrl":"https://doi.org/10.1117/12.2657990","url":null,"abstract":"For printing the most critical features in semiconductor devices, single exposure extreme ultraviolet (EUV) lithography is quickly advancing as a replacement for ArF immersion-based multipatterning approaches. However, the transition from 193 nm to 13.5 nm light is severely limiting the number of photons produced by a given source power, leading to photon shot noise in EUV patterns. In addition, inhomogeneous distribution of components inside conventional photoresists is adding to the printing variability, especially when critical dimensions continue to shrink. As a result, stochastic issues leading to rough, non-uniform, and potentially defective patterns have become a major challenge for EUV lithography. A promising solution for this top-down patterning approach is complementing it with bottom-up directed self-assembly (DSA) of block copolymers. In combination with 193i lithography, DSA of lamellae forming block copolymers has previously shown favorable results for defining dense line-space patterns using LiNe flow.1 In this study, we investigate the complementarity of EUV + DSA for rectification of pitch 28 nm line-space patterns. Roughness and defectivity are critical factors that need to be controlled to make these patterns industrially relevant. We look at the impact of DSA material and processing parameters on line edge roughness and line width roughness in order to identify and mitigate the origins of pattern roughness. On the other hand, we also assess the different types of defect modes that are observed by means of optical defect inspection and ebeam review, and study the root causes for their formation. To wrap-up, the benefits of 1X DSA versus 3X DSA are presented by comparing EUV + DSA to LiNe flow.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127460238","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A method for achieving sub-2nm across-wafer uniformity performance 实现亚2nm跨晶圆均匀性能的方法
Pub Date : 2023-05-01 DOI: 10.1117/12.2662423
Yang H. Ban, Leandro Medina, Michael Da Silva, Sebastian Naranjo, Meghali J. Chopra
Reducing process development time and speeding up time to market are perennial challenges in the microelectronics industry. The development of etch models that permit optimizations across the wafer would enable manufacturers to optimize process design flows and predict process defects before a single wafer is run. The challenges of across-wafer uniformity optimizations include the large variety of features across the wafer, etch variations that occur at multiple scales within the plasma chamber, feature metrology, and computationally expensive model development. Compounding these challenges are trade-offs between data quality and time/cost-effectiveness, the wide variety of measurement information provided by different tools, and the sparsity and inconsistency of human-collected data. We address these challenges with a feature and wafer level modeling approach. First, experiments are conducted for a variety of etch conditions (e.g., pressure, gas composition, flow rate, temperature, power, and bias). Second, a feature level model is calibrated at multiple sites across the wafer based on OCD and/or cross-sectional SEM measurements. Finally, the calibrated model is used to predict an optimal set of process conditions to preserve uniformity across the wafer and to meet recipe targets. We demonstrate the methodology using SandBox Studio™ AI for a FinFET application. Specifically, we show the rapid and automated calibration of feature level models using experimental measurements of the 3D feature etch at a variety of process conditions. Automated image segmentation of X-SEM data is also performed here for single case using Weave® to demonstrate how such data can be acquired quickly in a development environment. We then demonstrate the effectiveness of the reduced-order model to predict optimal recipe conditions to improve overall recipe performance. We show how, with this hybrid-metrology computational approach, a process window that yields 89.2% of the wafer can be captured.
缩短工艺开发时间和加快产品上市时间是微电子工业长期面临的挑战。允许整个晶圆优化的蚀刻模型的开发将使制造商能够优化工艺设计流程并在单个晶圆运行之前预测工艺缺陷。晶圆均匀性优化面临的挑战包括晶圆上的各种特征、等离子体腔内多个尺度上的蚀刻变化、特征计量以及计算成本高昂的模型开发。使这些挑战更加复杂的是数据质量和时间/成本效益之间的权衡,不同工具提供的各种测量信息,以及人类收集的数据的稀疏性和不一致性。我们通过特征和晶圆级建模方法来解决这些挑战。首先,对各种蚀刻条件(例如,压力、气体成分、流速、温度、功率和偏置)进行实验。其次,基于OCD和/或截面SEM测量,在晶圆上的多个位置校准特征级模型。最后,利用校准后的模型来预测一组最佳工艺条件,以保持晶圆片的均匀性并满足配方目标。我们在FinFET应用中使用SandBox Studio™AI演示了该方法。具体来说,我们展示了在各种工艺条件下使用3D特征蚀刻的实验测量来快速和自动校准特征级模型。X-SEM数据的自动图像分割也在这里使用Weave®进行,以演示如何在开发环境中快速获取此类数据。然后,我们证明了降阶模型在预测最佳配方条件以提高整体配方性能方面的有效性。我们展示了如何使用这种混合计量计算方法,可以捕获产生89.2%晶圆的过程窗口。
{"title":"A method for achieving sub-2nm across-wafer uniformity performance","authors":"Yang H. Ban, Leandro Medina, Michael Da Silva, Sebastian Naranjo, Meghali J. Chopra","doi":"10.1117/12.2662423","DOIUrl":"https://doi.org/10.1117/12.2662423","url":null,"abstract":"Reducing process development time and speeding up time to market are perennial challenges in the microelectronics industry. The development of etch models that permit optimizations across the wafer would enable manufacturers to optimize process design flows and predict process defects before a single wafer is run. The challenges of across-wafer uniformity optimizations include the large variety of features across the wafer, etch variations that occur at multiple scales within the plasma chamber, feature metrology, and computationally expensive model development. Compounding these challenges are trade-offs between data quality and time/cost-effectiveness, the wide variety of measurement information provided by different tools, and the sparsity and inconsistency of human-collected data. We address these challenges with a feature and wafer level modeling approach. First, experiments are conducted for a variety of etch conditions (e.g., pressure, gas composition, flow rate, temperature, power, and bias). Second, a feature level model is calibrated at multiple sites across the wafer based on OCD and/or cross-sectional SEM measurements. Finally, the calibrated model is used to predict an optimal set of process conditions to preserve uniformity across the wafer and to meet recipe targets. We demonstrate the methodology using SandBox Studio™ AI for a FinFET application. Specifically, we show the rapid and automated calibration of feature level models using experimental measurements of the 3D feature etch at a variety of process conditions. Automated image segmentation of X-SEM data is also performed here for single case using Weave® to demonstrate how such data can be acquired quickly in a development environment. We then demonstrate the effectiveness of the reduced-order model to predict optimal recipe conditions to improve overall recipe performance. We show how, with this hybrid-metrology computational approach, a process window that yields 89.2% of the wafer can be captured.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126395167","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Study of selective isotropic etching effects of Si1-xGex in gate-all-around nanosheet transistor process Si1-xGex在栅极全能纳米片晶体管工艺中的选择性各向同性刻蚀效应研究
Pub Date : 2023-05-01 DOI: 10.1117/12.2658312
Qi Yan, H. Shao, Junjie Li, Z. Kong, Xiaobin He, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei
Gate-all-around (GAA) nanosheet transistors are widely accepted for the mainstream technology towards 3nm technology node. The major strategy is to form nanosheet by using Si1-xGex/Si multilayer structures (MLS). Inner spacer formation is a critical step as it defines the gate length and isolates gate from source and drain. Selectively removing of SiGe layers determines the dimension of the inner spacer and impacts the transistor performance significantly. It requires precise process control in the lateral cavity etching and brings significant challenges to conventional etching manners. In our previous work, we achieved isotropic Si0.7Ge0.3 selective etching in SiGe/Si stack with high selectivity. However, the results were achieved on the single SiGe/Si stack in a relatively open area, when moving to dense patterns, the etching performance desires for further study. In this paper, we present our latest progress on isotropic etching by using ICP with mixed gas of CF4/O2/He on SiGe/Si stack periodic arrays. Loading effect and Si surface damage were observed. We reproduce these etching effects by developing an analytical model. This model is based on Monte-Carlo method and is capable of simulating the profile evolution of the lateral etching of SiGe/Si structures. The influence of etch time, pattern pitch and stack layer thickness on lateral etch results have been studied by simulation.
栅极全能(GAA)纳米片晶体管是面向3nm技术节点的主流技术。主要策略是采用Si1-xGex/Si多层结构(MLS)形成纳米片。内部间隔层的形成是一个关键步骤,因为它确定栅极长度并将栅极与源极和漏极隔离开来。选择性地去除SiGe层决定了内部间隔层的尺寸,并显著影响晶体管的性能。侧向腔蚀刻需要精确的工艺控制,对传统的蚀刻方式提出了重大挑战。在我们之前的工作中,我们在SiGe/Si堆叠中实现了各向同性的Si0.7Ge0.3选择性蚀刻,具有高选择性。然而,这些结果是在相对开放区域的单SiGe/Si堆叠上取得的,当移动到密集模式时,蚀刻性能需要进一步研究。本文介绍了CF4/O2/He混合气体ICP在SiGe/Si堆叠周期阵列上各向同性刻蚀的最新进展。观察了加载效应和硅的表面损伤。我们通过建立一个分析模型来重现这些蚀刻效应。该模型基于蒙特卡罗方法,能够模拟SiGe/Si结构横向刻蚀的轮廓演变过程。通过仿真研究了刻蚀时间、图案间距和叠层厚度对横向刻蚀效果的影响。
{"title":"Study of selective isotropic etching effects of Si1-xGex in gate-all-around nanosheet transistor process","authors":"Qi Yan, H. Shao, Junjie Li, Z. Kong, Xiaobin He, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei","doi":"10.1117/12.2658312","DOIUrl":"https://doi.org/10.1117/12.2658312","url":null,"abstract":"Gate-all-around (GAA) nanosheet transistors are widely accepted for the mainstream technology towards 3nm technology node. The major strategy is to form nanosheet by using Si1-xGex/Si multilayer structures (MLS). Inner spacer formation is a critical step as it defines the gate length and isolates gate from source and drain. Selectively removing of SiGe layers determines the dimension of the inner spacer and impacts the transistor performance significantly. It requires precise process control in the lateral cavity etching and brings significant challenges to conventional etching manners. In our previous work, we achieved isotropic Si0.7Ge0.3 selective etching in SiGe/Si stack with high selectivity. However, the results were achieved on the single SiGe/Si stack in a relatively open area, when moving to dense patterns, the etching performance desires for further study. In this paper, we present our latest progress on isotropic etching by using ICP with mixed gas of CF4/O2/He on SiGe/Si stack periodic arrays. Loading effect and Si surface damage were observed. We reproduce these etching effects by developing an analytical model. This model is based on Monte-Carlo method and is capable of simulating the profile evolution of the lateral etching of SiGe/Si structures. The influence of etch time, pattern pitch and stack layer thickness on lateral etch results have been studied by simulation.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115078195","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Multi-beam patterning technology and mask making beyond 5nm 多波束图像化技术及5nm以上掩模制作
Pub Date : 2023-05-01 DOI: 10.1117/12.2657746
B. Shamoun, Z. Alberti, I. Bucay, S. Ellis, Michael Erickson, B. Liu, M. Chandramouli, A. Sowers, F. Abboud, G. Hochleitner, M. Tomandl, C. Klein, E. Platzgummer
The wafer manufacturing industry has increased pattern complexity of the main feature and sub-resolution assist feature (SRAF) required for improving the EUV lithography process window and enabling the leading-edge technology nodes. In parallel, Inverse Lithography Technology (ILT) and its requirements of curvilinear data structure has gained momentum in recent years, putting the pressure on mask makers, in particular the mask writer. To fulfill the curvilinear feature requirements of high pattern resolution and large data volume, the mask writer needs to develop innovative techniques and update its error compensation strategies. In this paper, we will investigate the pattern resolution, local critical dimension uniformity (LCDU), and line edge roughness (LER) and explore the projected improvements in multi-beam writer technology and highlight its capability against EUV lithography requirements. We will also investigate the role of resist and process on these critical mask metrics to illustrate the overall performance against wafer requirements. EUV mask exposure tests were conducted at Intel Mask Operation (IMO) on a MBMW201 multi-beam writer to study the effects of writing beam diameter and associate blurs, mask exposure dose, and photoresist on pattern resolution, LCDU, and LER. An analytical model was also used to predict the trend and determine the dependency of these lithographic metrics on the writer exposure conditions.
晶圆制造行业增加了主要特征和子分辨率辅助特征(SRAF)的模式复杂性,这是改善EUV光刻工艺窗口和实现前沿技术节点所必需的。与此同时,逆光刻技术(ILT)及其对曲线数据结构的要求近年来势头强劲,给掩模制造商,特别是掩模制造商带来了压力。为了满足高模式分辨率和大数据量的曲线特征要求,掩码编写者需要开发创新的技术和更新其误差补偿策略。在本文中,我们将研究图案分辨率,局部临界尺寸均匀性(LCDU)和线边缘粗糙度(LER),并探讨多光束写入技术的预期改进,并强调其满足EUV光刻要求的能力。我们还将研究抗蚀剂和工艺对这些关键掩模指标的作用,以说明根据晶圆要求的整体性能。在Intel mask Operation (IMO)上对MBMW201多光束写入器进行了EUV掩模暴露试验,研究了写入光束直径和相关模糊、掩模暴露剂量和光刻胶对图案分辨率、lcd du和LER的影响。分析模型也被用来预测趋势和确定这些光刻指标的依赖于作家曝光条件。
{"title":"Multi-beam patterning technology and mask making beyond 5nm","authors":"B. Shamoun, Z. Alberti, I. Bucay, S. Ellis, Michael Erickson, B. Liu, M. Chandramouli, A. Sowers, F. Abboud, G. Hochleitner, M. Tomandl, C. Klein, E. Platzgummer","doi":"10.1117/12.2657746","DOIUrl":"https://doi.org/10.1117/12.2657746","url":null,"abstract":"The wafer manufacturing industry has increased pattern complexity of the main feature and sub-resolution assist feature (SRAF) required for improving the EUV lithography process window and enabling the leading-edge technology nodes. In parallel, Inverse Lithography Technology (ILT) and its requirements of curvilinear data structure has gained momentum in recent years, putting the pressure on mask makers, in particular the mask writer. To fulfill the curvilinear feature requirements of high pattern resolution and large data volume, the mask writer needs to develop innovative techniques and update its error compensation strategies. In this paper, we will investigate the pattern resolution, local critical dimension uniformity (LCDU), and line edge roughness (LER) and explore the projected improvements in multi-beam writer technology and highlight its capability against EUV lithography requirements. We will also investigate the role of resist and process on these critical mask metrics to illustrate the overall performance against wafer requirements. EUV mask exposure tests were conducted at Intel Mask Operation (IMO) on a MBMW201 multi-beam writer to study the effects of writing beam diameter and associate blurs, mask exposure dose, and photoresist on pattern resolution, LCDU, and LER. An analytical model was also used to predict the trend and determine the dependency of these lithographic metrics on the writer exposure conditions.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132109512","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Process optimization for shallow trench isolation etch using computational models 基于计算模型的浅沟隔离蚀刻工艺优化
Pub Date : 2023-05-01 DOI: 10.1117/12.2664977
Shuo Huang, Premkumar Panneerchelvam, Chad M. Huard, Shyam Sridhar, P. Ventzek, Mark D. Smith
In today’s advanced semiconductor process manufacturing, critical dimensions of device features have decreased to a few nanometers while the aspect ratios have increased beyond 100. The cost of process development has significantly increased and the performance of the lithography and plasma etch patterning processes are critical to the success of ramping a new technology node toward profitable high-volume manufacturing. In this paper, a three-dimensional Monte Carlo-based feature scale model, ProETCH®, has been developed for modeling etch process with the capability of optimizing the process by solving forward and inverse problems. The shallow trench isolation etch process in self-aligned double patterning was investigated. The mechanism of silicon etch by Ar/Cl2 plasma was developed with experimental data as a reference. The developed model captures the trends and has quantitative accuracy in comparison to the experimental data, and can be used to identify the different fundamental pathways which contribute to the profile metrics. The developed model was then used to solve the forward problem, which is to predict profiles at different process conditions, and the inverse problem, which is to search for the process conditions (e.g, power and pressure) which could result in desirable profiles.
在当今先进的半导体工艺制造中,器件特征的关键尺寸已经降低到几纳米,而宽高比已经增加到100以上。工艺开发的成本显著增加,光刻和等离子蚀刻工艺的性能对于新技术节点向有利可图的大批量生产的成功发展至关重要。在本文中,开发了一个基于蒙特卡罗的三维特征比例模型ProETCH®,用于模拟蚀刻过程,具有通过求解正、逆问题来优化过程的能力。研究了自对准双模浅沟隔离刻蚀工艺。以实验数据为参考,探讨了Ar/Cl2等离子体蚀刻硅的机理。与实验数据相比,开发的模型捕获了趋势,具有定量准确性,并可用于识别有助于剖面度量的不同基本路径。然后利用所开发的模型来解决正问题,即预测不同工艺条件下的轮廓,以及逆问题,即搜索可能产生理想轮廓的工艺条件(例如功率和压力)。
{"title":"Process optimization for shallow trench isolation etch using computational models","authors":"Shuo Huang, Premkumar Panneerchelvam, Chad M. Huard, Shyam Sridhar, P. Ventzek, Mark D. Smith","doi":"10.1117/12.2664977","DOIUrl":"https://doi.org/10.1117/12.2664977","url":null,"abstract":"In today’s advanced semiconductor process manufacturing, critical dimensions of device features have decreased to a few nanometers while the aspect ratios have increased beyond 100. The cost of process development has significantly increased and the performance of the lithography and plasma etch patterning processes are critical to the success of ramping a new technology node toward profitable high-volume manufacturing. In this paper, a three-dimensional Monte Carlo-based feature scale model, ProETCH®, has been developed for modeling etch process with the capability of optimizing the process by solving forward and inverse problems. The shallow trench isolation etch process in self-aligned double patterning was investigated. The mechanism of silicon etch by Ar/Cl2 plasma was developed with experimental data as a reference. The developed model captures the trends and has quantitative accuracy in comparison to the experimental data, and can be used to identify the different fundamental pathways which contribute to the profile metrics. The developed model was then used to solve the forward problem, which is to predict profiles at different process conditions, and the inverse problem, which is to search for the process conditions (e.g, power and pressure) which could result in desirable profiles.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129447821","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Development of a novel cleaner for contaminant removal in equipment used in semiconductor manufacturing which reduces time and solvent waste 一种用于半导体制造设备中污染物去除的新型清洁剂的开发,减少了时间和溶剂的浪费
Pub Date : 2023-05-01 DOI: 10.1117/12.2656914
I. Hirano, Motoki Takahashi, Kuniteru Soeda, Masaki Kadowaki, Komei Hirahara, Takayuki Hosono, Jun Koshiyama, Tomoyuki Yazawa
The control of wet particles (WPs) is more stringent as the resolution of photolithography increases, especially in advanced photolithography such as extreme ultraviolet lithography (EUVL). Owing to continuing advancements in technology and resolution, it is expected that WPs smaller than the EUVL photoresist (PR) pattern sizes will need to be eliminated from silicon wafer surfaces in the near future. WPs are mainly comprised of micro/nano bubbles or organic/inorganic contaminants. The contaminants are eluted from equipment components, such as liquid filters and tubing, prior to the coating process. Flushing with conventional solvents used in photolithography eliminates WPs, however it consumes a great deal of time and solvent. TRICTM-007, a novel cleaner, was developed to remove contaminants effectively and efficiently. A PR coating equipment with a point-of-use filter installed was used for testing. Flushing with TRICTM-007 followed by a conventional solvent allowed for shorter flushing times relative to flushing with solvent alone. In addition, the amount of solvent needed to flush the equipment after using TRICTM-007 was significantly less than that of using only solvent. Furthermore, the photolithographic performance of the PR was tested by running wafer repeatability test. Tests using a filter flushed with the cleaner followed by solvent were compared to tests using a filter flushed with solvent alone. All results were similar and within specifications, proving that TRICTM- 007 did not affect the quality of the PR.
随着光刻技术分辨率的提高,特别是在极紫外光刻(EUVL)等先进光刻技术中,对湿颗粒(WPs)的控制要求越来越严格。由于技术和分辨率的不断进步,预计在不久的将来,小于EUVL光刻胶(PR)图案尺寸的WPs将需要从硅晶圆表面消除。WPs主要由微/纳米气泡或有机/无机污染物组成。在涂层过程之前,从设备组件(如液体过滤器和管道)中洗脱污染物。用光刻中使用的传统溶剂冲洗可以消除WPs,但它消耗大量的时间和溶剂。TRICTM-007是一种新型清洗剂,可有效去除污染物。使用安装了使用点过滤器的PR涂层设备进行测试。用TRICTM-007冲洗后再用常规溶剂冲洗,相对于单独用溶剂冲洗,可以缩短冲洗时间。此外,使用TRICTM-007后冲洗设备所需的溶剂量明显少于仅使用溶剂的设备。此外,通过晶片重复性测试,对其光刻性能进行了测试。将使用先用清洗剂冲洗后再用溶剂冲洗的过滤器进行的测试与仅用溶剂冲洗的过滤器进行的测试进行比较。所有结果相似且符合规范,证明TRICTM- 007不影响PR的质量。
{"title":"Development of a novel cleaner for contaminant removal in equipment used in semiconductor manufacturing which reduces time and solvent waste","authors":"I. Hirano, Motoki Takahashi, Kuniteru Soeda, Masaki Kadowaki, Komei Hirahara, Takayuki Hosono, Jun Koshiyama, Tomoyuki Yazawa","doi":"10.1117/12.2656914","DOIUrl":"https://doi.org/10.1117/12.2656914","url":null,"abstract":"The control of wet particles (WPs) is more stringent as the resolution of photolithography increases, especially in advanced photolithography such as extreme ultraviolet lithography (EUVL). Owing to continuing advancements in technology and resolution, it is expected that WPs smaller than the EUVL photoresist (PR) pattern sizes will need to be eliminated from silicon wafer surfaces in the near future. WPs are mainly comprised of micro/nano bubbles or organic/inorganic contaminants. The contaminants are eluted from equipment components, such as liquid filters and tubing, prior to the coating process. Flushing with conventional solvents used in photolithography eliminates WPs, however it consumes a great deal of time and solvent. TRICTM-007, a novel cleaner, was developed to remove contaminants effectively and efficiently. A PR coating equipment with a point-of-use filter installed was used for testing. Flushing with TRICTM-007 followed by a conventional solvent allowed for shorter flushing times relative to flushing with solvent alone. In addition, the amount of solvent needed to flush the equipment after using TRICTM-007 was significantly less than that of using only solvent. Furthermore, the photolithographic performance of the PR was tested by running wafer repeatability test. Tests using a filter flushed with the cleaner followed by solvent were compared to tests using a filter flushed with solvent alone. All results were similar and within specifications, proving that TRICTM- 007 did not affect the quality of the PR.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116270877","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Scalable digital atomic precision lithography 可伸缩数字原子精密光刻
Pub Date : 2023-05-01 DOI: 10.1117/12.2661599
E. Fuchs, James H. G. Owen, Afshin Alipour, Emma Fowler, S. Moheimani, J. N. Randall
Current lithographic techniques are limited to a resolution of a few nm with poor relative precision. Scanning Tunneling Microscope (STM) based lithography[1], removes H from H-passivated Si 2x1 (100) by a mode distinct from usual imaging. This technique is generally called Hydrogen Depassivation Lithography (HDL) and since it scans a beam of electrons around on a surface exposing a resist, it is a form of E-beam Lithography. The HDL approach is not effective with standard resists and, at present, has only a limited number of pattern transfer methods. The two primary ones are patterning 2D delta doped Si devices for solid state quantum devices and selective Atomic Layer Deposition metal oxides that can be used as hard etch masks. However, electron stimulated desorption of atoms and molecules is a fairly generic process and its use can be anticipated on a wide variety of substrates. Sub-nm resolution (0.768 nm) has been demonstrated and used for numerous research purposes, such as dopant positioning for quantum devices[2]. While sub-nm resolution is easily obtainable with standard Ultra-High Vacuum (UHV) STMs, the repeatability and accuracy of the patterning has limited its applications. In this paper we report on progress to dramatically scale HDL’s throughput while maintaining sub-nm resolution.
目前的光刻技术仅限于几纳米的分辨率,相对精度较差。基于扫描隧道显微镜(STM)的光刻[1],通过不同于通常成像的模式从H钝化Si 2x1(100)中去除H。这种技术通常被称为氢脱钝化光刻(HDL),由于它扫描表面上的电子束,从而暴露出抗蚀剂,因此它是电子束光刻的一种形式。高密度脂蛋白方法对标准电阻不有效,目前只有有限数量的模式转移方法。两个主要的方向是为固态量子器件和可作为硬蚀刻掩模的选择性原子层沉积金属氧化物绘制二维δ掺杂Si器件的图像化。然而,电子刺激原子和分子的解吸是一个相当普遍的过程,它的使用可以预期在各种各样的底物。亚纳米分辨率(0.768 nm)已被证明并用于许多研究目的,如量子器件的掺杂剂定位[2]。虽然标准的超高真空(UHV) STMs很容易获得亚纳米分辨率,但图案的可重复性和准确性限制了其应用。在本文中,我们报告了在保持亚纳米分辨率的同时显着扩展HDL吞吐量的进展。
{"title":"Scalable digital atomic precision lithography","authors":"E. Fuchs, James H. G. Owen, Afshin Alipour, Emma Fowler, S. Moheimani, J. N. Randall","doi":"10.1117/12.2661599","DOIUrl":"https://doi.org/10.1117/12.2661599","url":null,"abstract":"Current lithographic techniques are limited to a resolution of a few nm with poor relative precision. Scanning Tunneling Microscope (STM) based lithography[1], removes H from H-passivated Si 2x1 (100) by a mode distinct from usual imaging. This technique is generally called Hydrogen Depassivation Lithography (HDL) and since it scans a beam of electrons around on a surface exposing a resist, it is a form of E-beam Lithography. The HDL approach is not effective with standard resists and, at present, has only a limited number of pattern transfer methods. The two primary ones are patterning 2D delta doped Si devices for solid state quantum devices and selective Atomic Layer Deposition metal oxides that can be used as hard etch masks. However, electron stimulated desorption of atoms and molecules is a fairly generic process and its use can be anticipated on a wide variety of substrates. Sub-nm resolution (0.768 nm) has been demonstrated and used for numerous research purposes, such as dopant positioning for quantum devices[2]. While sub-nm resolution is easily obtainable with standard Ultra-High Vacuum (UHV) STMs, the repeatability and accuracy of the patterning has limited its applications. In this paper we report on progress to dramatically scale HDL’s throughput while maintaining sub-nm resolution.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128570087","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Modeling of SiNx growth by chemical vapor deposition in nanosheet indentation 纳米片压痕中化学气相沉积法模拟SiNx生长
Pub Date : 2023-05-01 DOI: 10.1117/12.2658152
H. Shao, Panpan Lai, Junjie Li, G. Bai, Qi Yan, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei
Gate-all-around nanosheet (GAA-NS) transistors are commonly considered to be most competitive logic device in the future. In the GAA nanosheet transistor device fabrication process, the inner spacer formation is a critical step as it physically isolates the gate from the source/drain, and defines the gate length. After the selective lateral etch of the SiGe in alternative Si/SiGe stack, inner spacer material is deposited and SiNx is commonly used. This gap filling process demands for highly uniform growth of materials in order to minimize transistor variability. As moving to three-dimensional stacked structure, lateral open features bring challenges to conventional deposition manners such as chemical vapor deposition (CVD). In our previous work, we have compared the filling performance between low-pressure chemical vapor deposition (LPCVD) and plasma enhanced chemical vapor deposition (PECVD), and demonstrated good SiNx growth conformity by LPCVD in Si/SiGe indentation cavities. The cavity geometry was also found to pose significant impact on growth profile. However these works were carried out on isolated Si/SiGe nanosheet structure without neighboring unit. CVD process performance may degrade when moving from isolated to dense structures, especially when the critical dimension goes into tens of nanometers. In this paper, we present our latest simulation progress on the profile evolution of SiNx CVD in dense Si/SiGe nanosheet structures with varying geometry and density of units. The SiNx profile simulation indicates that LPCVD still maintains promising coverage performance in cavities, the SiNx film thickness in the inner and outer side of unit are pretty close, while necking signature emerges near the unit top as process time increases. In contrast, PECVD exhibits pin holes within the cavity at the beginning of process, and the necking effect is relatively severe both in the cavity and near top of unit. We conduct systematic study on periodic stack structure array with different SiGe indentations. Pin holes are observed and get more pronounced in the PECVD process when the space between units is narrowed down. As the indentation decreases, pin holes become much smaller and exhibit better filling performance inside the lateral cavity.
栅极全能纳米片晶体管(GAA-NS)被普遍认为是未来最有竞争力的逻辑器件。在GAA纳米片晶体管器件的制造过程中,内部间隔层的形成是一个关键步骤,因为它将栅极与源极/漏极物理隔离,并定义栅极长度。在可选的Si/SiGe叠层中对SiGe进行选择性横向蚀刻后,沉积内部间隔材料,通常使用SiNx。这种间隙填充工艺要求材料的高度均匀生长,以尽量减少晶体管的可变性。随着材料向三维层叠结构发展,横向开放的特点给化学气相沉积(CVD)等传统沉积方式带来了挑战。在我们之前的工作中,我们比较了低压化学气相沉积(LPCVD)和等离子体增强化学气相沉积(PECVD)的填充性能,并证明了LPCVD在Si/SiGe压痕腔中具有良好的SiNx生长一致性。空腔的几何形状也对生长曲线有显著的影响。然而,这些工作是在没有相邻单元的孤立的Si/SiGe纳米片结构上进行的。当CVD工艺从孤立结构向致密结构过渡时,特别是当临界尺寸达到几十纳米时,CVD工艺性能可能会下降。本文介绍了在不同几何形状和单元密度的致密Si/SiGe纳米片结构中SiNx CVD的轮廓演变的最新模拟进展。SiNx剖面模拟表明,LPCVD在腔内仍保持良好的覆盖性能,单元内外侧SiNx膜厚度相当接近,随着工艺时间的增加,单元顶部附近出现颈缩特征。而PECVD工艺初期在腔内出现针孔,腔内和装置近顶部的颈缩效应都比较严重。本文对不同SiGe缩进的周期堆叠结构阵列进行了系统的研究。当单元之间的空间缩小时,在PECVD过程中可以观察到针孔,并且针孔变得更加明显。随着压痕的减小,针孔变得越来越小,并在侧腔内表现出更好的填充性能。
{"title":"Modeling of SiNx growth by chemical vapor deposition in nanosheet indentation","authors":"H. Shao, Panpan Lai, Junjie Li, G. Bai, Qi Yan, Junfeng Li, Tao-mei Yang, Rui Chen, Yayi Wei","doi":"10.1117/12.2658152","DOIUrl":"https://doi.org/10.1117/12.2658152","url":null,"abstract":"Gate-all-around nanosheet (GAA-NS) transistors are commonly considered to be most competitive logic device in the future. In the GAA nanosheet transistor device fabrication process, the inner spacer formation is a critical step as it physically isolates the gate from the source/drain, and defines the gate length. After the selective lateral etch of the SiGe in alternative Si/SiGe stack, inner spacer material is deposited and SiNx is commonly used. This gap filling process demands for highly uniform growth of materials in order to minimize transistor variability. As moving to three-dimensional stacked structure, lateral open features bring challenges to conventional deposition manners such as chemical vapor deposition (CVD). In our previous work, we have compared the filling performance between low-pressure chemical vapor deposition (LPCVD) and plasma enhanced chemical vapor deposition (PECVD), and demonstrated good SiNx growth conformity by LPCVD in Si/SiGe indentation cavities. The cavity geometry was also found to pose significant impact on growth profile. However these works were carried out on isolated Si/SiGe nanosheet structure without neighboring unit. CVD process performance may degrade when moving from isolated to dense structures, especially when the critical dimension goes into tens of nanometers. In this paper, we present our latest simulation progress on the profile evolution of SiNx CVD in dense Si/SiGe nanosheet structures with varying geometry and density of units. The SiNx profile simulation indicates that LPCVD still maintains promising coverage performance in cavities, the SiNx film thickness in the inner and outer side of unit are pretty close, while necking signature emerges near the unit top as process time increases. In contrast, PECVD exhibits pin holes within the cavity at the beginning of process, and the necking effect is relatively severe both in the cavity and near top of unit. We conduct systematic study on periodic stack structure array with different SiGe indentations. Pin holes are observed and get more pronounced in the PECVD process when the space between units is narrowed down. As the indentation decreases, pin holes become much smaller and exhibit better filling performance inside the lateral cavity.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122087635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimization of spin-on metal oxide resist performance via new development techniques on sub-30nm pitch patterning 基于亚30nm节距图形新开发技术的自旋金属抗氧化物性能优化
Pub Date : 2023-05-01 DOI: 10.1117/12.2658880
L. Huli, Kanzo Kato, Steven Gueci, N. Antonovich, Steven Grzeskowiak, D. Hetzer, E. Liu, Alexandra Krawicz, S. Shimura, S. Kawakami, Soichiro Okada, K. Petrillo, Luciana Meli, N. Latham, Y. Cabrera, Belle Antonovich
Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.
极紫外光刻(EUVL)已经克服了重大挑战,成为逻辑和内存扩展路线图的重要推动者。尽管取得了重大进展,但抗蚀剂光刻速度和缺陷仍然是大批量生产的主要问题。为了克服这些问题,需要高性能的EUV抗蚀工艺。高性能抗蚀剂工艺必须同时满足多种要求,如高分辨率、高灵敏度、低粗糙度、低缺陷水平和良好的全局CD均匀性(CDU)。金属氧化物抗蚀剂(MOR)是未来EUV缩放和高NA EUV的高性能抗蚀剂之一。在我们的工作中,我们介绍了新的涂布机/显影剂硬件和新的抗蚀剂显影技术,以提高光速度,缺陷和CDU,而不会降低MOR中的粗糙度。我们将展示新的开发方法显着提高EUV剂量尺寸(DtS)和微桥(MB),同时保持光刻和蚀刻后的抗粗糙度性能。新的涂层机/显影机硬件和工艺通过强大的表征方法进行评估,包括了解ADI(开发检查后)和AEI(蚀刻检查后)的缺陷模式,以及其与电产率的最终相关性。
{"title":"Optimization of spin-on metal oxide resist performance via new development techniques on sub-30nm pitch patterning","authors":"L. Huli, Kanzo Kato, Steven Gueci, N. Antonovich, Steven Grzeskowiak, D. Hetzer, E. Liu, Alexandra Krawicz, S. Shimura, S. Kawakami, Soichiro Okada, K. Petrillo, Luciana Meli, N. Latham, Y. Cabrera, Belle Antonovich","doi":"10.1117/12.2658880","DOIUrl":"https://doi.org/10.1117/12.2658880","url":null,"abstract":"Extreme ultraviolet lithography (EUVL) has overcome significant challenges to become an essential enabler to the logic and memory scaling roadmap. Despite its significant progress, resist photo speed, and defectivity remains the main concerns for high-volume manufacturing. To overcome these issues, high-performance EUV resist processes are needed. The high-performance resist process must simultaneously meet multiple requirements, such as a high resolution, high sensitivity, low roughness, low defect level, and good global CD uniformity (CDU). One of the high-performance resist candidates for future EUV scaling, and high NA EUV is Metal Oxide Resist (MOR). In our work, we introduce the new coater/developer hardware and new resist development techniques to improve photo speed, defectivity, and CDU without degradation of roughness in MOR. We will show that the new development methods significantly improve EUV dose to size (DtS) and micro-bridge (MB) while maintaining resist roughness performance post litho and post-etch. The new coater/developer hardware and processes are evaluated through a robust characterization methodology that includes an understanding of the defect modes at ADI (after development inspection) and AEI (after etch inspection), as well its ultimate correlation to electrical yield.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125042804","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Fluoroalkylated tin-oxo nano clusters as resist candidates for extreme UV lithography 氟烷基化锡氧纳米团簇作为极紫外光刻的候选抗蚀剂
Pub Date : 2023-05-01 DOI: 10.1117/12.2658210
Yejin Ku, Hyungju Ahn, Jin-Kyun Lee, Jiho Kim, Byeong-Gyu Park, Sangsul Lee, Y. Jang, B. Jung, C. Koh, T. Nishi, Hyun-woo Kim
Extreme UV (EUV) lithography is entering full-scale production of high-end IC chips. This transition gives researchers in academia and industry ample motivation to propose new chemistries that will contribute to alleviating the resolution-line edge roughness-sensitivity trade-off dilemma of EUV lithography. We also have a great interest in the radical chemistry of carbon-fluorine bonds working under EUV and have explored its applicability as a platform for implementing novel EUV resists. While it was checked that the chemical concept is viable by using fluorinated small molecules and polymers, it needed to be upgraded in terms of patterning resolution and sensitivity. Recently, we extended successfully the radical-based strategy to the tin-oxo nano cluster resist concept. Soluble fluorinated tin-oxo clusters could be prepared, and they were cast into thin films from a fluorous solution. When the thin film was exposed to EUV radiation, it lost solubility, resulting in the formation of negative-tone images. Under an EUV lithographic condition, the thin film could be tailored down to 10 nm or smaller sized features. In addition, their unique solubility in chemically orthogonal solvents also enabled the build-up of a bilayer structure composed of a non-fluorinated reactive polymer underlayer without curing. The stacked film structure was found to be helpful for the sensitivity improvement. These results propose another interesting EUV resist candidate possessing unique capabilities in thin film processing.
极紫外光(EUV)光刻技术正在进入高端集成电路芯片的全面生产阶段。这种转变为学术界和工业界的研究人员提供了充分的动力,提出新的化学物质,有助于缓解EUV光刻的分辨率线边缘粗糙度和灵敏度权衡困境。我们也对在EUV下工作的碳氟键的自由基化学非常感兴趣,并探索了其作为实现新型EUV抗蚀剂平台的适用性。虽然经检查,使用氟化小分子和聚合物的化学概念是可行的,但它需要在图案分辨率和灵敏度方面进行升级。最近,我们成功地将基于自由基的策略扩展到锡氧纳米簇抗蚀剂的概念。可溶的氟化锡氧簇可以制备,并将其从含氟溶液中浇铸成薄膜。当薄膜暴露在EUV辐射下时,它失去溶解度,从而形成负色调图像。在极紫外光刻条件下,薄膜可以被裁剪到10纳米或更小的尺寸特征。此外,它们在化学正交溶剂中的独特溶解度也使其能够在不固化的情况下建立由非氟化反应性聚合物下层组成的双层结构。叠片结构有助于提高灵敏度。这些结果提出了另一种在薄膜加工中具有独特能力的有趣的EUV抗蚀剂候选物。
{"title":"Fluoroalkylated tin-oxo nano clusters as resist candidates for extreme UV lithography","authors":"Yejin Ku, Hyungju Ahn, Jin-Kyun Lee, Jiho Kim, Byeong-Gyu Park, Sangsul Lee, Y. Jang, B. Jung, C. Koh, T. Nishi, Hyun-woo Kim","doi":"10.1117/12.2658210","DOIUrl":"https://doi.org/10.1117/12.2658210","url":null,"abstract":"Extreme UV (EUV) lithography is entering full-scale production of high-end IC chips. This transition gives researchers in academia and industry ample motivation to propose new chemistries that will contribute to alleviating the resolution-line edge roughness-sensitivity trade-off dilemma of EUV lithography. We also have a great interest in the radical chemistry of carbon-fluorine bonds working under EUV and have explored its applicability as a platform for implementing novel EUV resists. While it was checked that the chemical concept is viable by using fluorinated small molecules and polymers, it needed to be upgraded in terms of patterning resolution and sensitivity. Recently, we extended successfully the radical-based strategy to the tin-oxo nano cluster resist concept. Soluble fluorinated tin-oxo clusters could be prepared, and they were cast into thin films from a fluorous solution. When the thin film was exposed to EUV radiation, it lost solubility, resulting in the formation of negative-tone images. Under an EUV lithographic condition, the thin film could be tailored down to 10 nm or smaller sized features. In addition, their unique solubility in chemically orthogonal solvents also enabled the build-up of a bilayer structure composed of a non-fluorinated reactive polymer underlayer without curing. The stacked film structure was found to be helpful for the sensitivity improvement. These results propose another interesting EUV resist candidate possessing unique capabilities in thin film processing.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129260747","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1