首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Predicting the critical features of the chemically-amplified resist profile based on machine learning 基于机器学习预测化学放大抗蚀剂特征的关键特征
Pub Date : 2023-05-01 DOI: 10.1117/12.2658664
Pengjie Kong, Lisong Dong, Xu Ma, Yayi Wei
The improvement of accuracy and efficiency in simulating the profile of the chemically amplified resist (CAR) is always a key point in lithography. With the development of machine learning, many models have been successfully applied in optical proximity correction (OPC), hotspot detection, and other lithographic fields. In this work, we developed a neural network for predicting the critical features’ sizes of the CAR profile. By using a pre-calibrated physical resist model, the effectiveness of this model is demonstrated from numerical simulation. The results indicate that for the critical dimensions (CDs) of the CAR profile, this model shows great speed and accuracy. After applying the tuned neural network on the test sets, it shows 92.98% of the test sets have a mean square error (MSE) less than 1%.
提高化学放大抗蚀剂(CAR)轮廓模拟的精度和效率一直是光刻技术中的一个关键问题。随着机器学习的发展,许多模型已经成功地应用于光学接近校正(OPC)、热点检测等光刻领域。在这项工作中,我们开发了一个神经网络来预测CAR轮廓的关键特征的大小。利用预校正的物理电阻模型,通过数值模拟验证了该模型的有效性。结果表明,该模型对于CAR轮廓的关键尺寸具有较高的求解速度和精度。将调整后的神经网络应用到测试集上,结果表明92.98%的测试集的均方误差(MSE)小于1%。
{"title":"Predicting the critical features of the chemically-amplified resist profile based on machine learning","authors":"Pengjie Kong, Lisong Dong, Xu Ma, Yayi Wei","doi":"10.1117/12.2658664","DOIUrl":"https://doi.org/10.1117/12.2658664","url":null,"abstract":"The improvement of accuracy and efficiency in simulating the profile of the chemically amplified resist (CAR) is always a key point in lithography. With the development of machine learning, many models have been successfully applied in optical proximity correction (OPC), hotspot detection, and other lithographic fields. In this work, we developed a neural network for predicting the critical features’ sizes of the CAR profile. By using a pre-calibrated physical resist model, the effectiveness of this model is demonstrated from numerical simulation. The results indicate that for the critical dimensions (CDs) of the CAR profile, this model shows great speed and accuracy. After applying the tuned neural network on the test sets, it shows 92.98% of the test sets have a mean square error (MSE) less than 1%.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114076232","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Chitosan as a water-based photoresist for DUV lithography 壳聚糖作为用于 DUV 光刻技术的水基光刻胶
Pub Date : 2023-05-01 DOI: 10.1117/12.2658423
I. Servin, Alexandre Téolis, A. Bazin, A. Sarrazin, Paule Durin, O. Sysova, Corinne Gablin, Benoît Saudet, D. Léonard, O. Soppera, J. Leclercq, Y. Chevolot, R. Tiron, T. Delair, S. Trombotto
DUV photolithography, as the major process of nanofabrication, typically requires high volumes of toxic chemicals within resist formulation, solvent and developer. In this context, alternative chemistries to current petroleum-derived photoresists are proposed to reduce environmental impacts. Chitosan represents a bio-sourced resist allowing water-based patterning processes free of organic solvent and alkali-based developers, by substitution with a green solvent (deionized (DI) water). This paper present last stepwise process in the patterning integration with a chitosan-based resist. Preliminary results using a 300 mm pilot line scale at CEA-Leti demonstrate patterns resolution down to 800 nm along with plasma etch transfer into Si substrate. Finally, the environmental impact through life cycle analysis (LCA) of the whole process based on chitosan resist is assessed and compared to conventional solvent-based processes.
DUV光刻作为纳米制造的主要工艺,通常需要在抗蚀剂配方、溶剂和显影剂中使用大量有毒化学物质。在这种情况下,人们提出了替代目前石油衍生光抗剂的化学物质,以减少对环境的影响。壳聚糖代表了一种生物源抗蚀剂,通过使用绿色溶剂(去离子水)代替,可以使水基图案加工不需要有机溶剂和碱基显影剂。本文介绍了利用壳聚糖基抗蚀剂进行图案集成的最后一步工艺。在CEA-Leti上使用300毫米中试线刻度的初步结果表明,图案分辨率低至800纳米,等离子体蚀刻转移到Si衬底上。最后,通过生命周期分析(LCA)对壳聚糖抗蚀剂全工艺的环境影响进行了评价,并与传统溶剂基工艺进行了比较。
{"title":"Chitosan as a water-based photoresist for DUV lithography","authors":"I. Servin, Alexandre Téolis, A. Bazin, A. Sarrazin, Paule Durin, O. Sysova, Corinne Gablin, Benoît Saudet, D. Léonard, O. Soppera, J. Leclercq, Y. Chevolot, R. Tiron, T. Delair, S. Trombotto","doi":"10.1117/12.2658423","DOIUrl":"https://doi.org/10.1117/12.2658423","url":null,"abstract":"DUV photolithography, as the major process of nanofabrication, typically requires high volumes of toxic chemicals within resist formulation, solvent and developer. In this context, alternative chemistries to current petroleum-derived photoresists are proposed to reduce environmental impacts. Chitosan represents a bio-sourced resist allowing water-based patterning processes free of organic solvent and alkali-based developers, by substitution with a green solvent (deionized (DI) water). This paper present last stepwise process in the patterning integration with a chitosan-based resist. Preliminary results using a 300 mm pilot line scale at CEA-Leti demonstrate patterns resolution down to 800 nm along with plasma etch transfer into Si substrate. Finally, the environmental impact through life cycle analysis (LCA) of the whole process based on chitosan resist is assessed and compared to conventional solvent-based processes.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126249500","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Challenges for spacer and source/drain cavity patterning in CFET devices CFET器件中间隔器和源/漏腔设计的挑战
Pub Date : 2023-05-01 DOI: 10.1117/12.2658073
G. Mannaert, H. Mertens, M. Hosseini, S. Demuynck, V. Nguyen, B. Chan, F. Lazzarino
In a complementary-FET (CFET), n- and p- type transistors are stacked on top of each other. This stacking approach results in very high aspect ratio vertical features which brings critical challenges for nanosheet (NSH), gate, spacer, and source/drain (S/D) cavity patterning. Silicon nitride spacers are commonly used to electrically isolate and protect the silicon gate during S/D epitaxial growth and to precisely define the channel length (Lg) [1-4]. In this work, we will discuss the spacer film opening, the optimization of the S/D cavity profile and propose options to reduce the gate hard mask consumption. We were able to straighten the S/D cavity profile in the SiGe superlattice substrate by tuning specific process parameters, during the various etch and over-etch steps of the stack. Chemical analysis of the sidewall of the cavity, by TEM/EDS, confirmed that the formation of a passivation oxi-nitride compound is key to achieve vertical cavity profile. The chemical mapping of the cavity was done through the Si and SiGe25% sheets. A Si, O and N containing passivation layer is present in the cavity which seems to be thicker at the top and thinner at the bottom of the cavity. Furthermore, polymer capping methods were investigated to reduce the consumption of oxide hard mask (HM) during spacer etch. Process optimization for the cavity shape in the S/D recess etch was conducted using TEM characterization.
在互补型场效应管中,n型和p型晶体管彼此堆叠在一起。这种叠加方法导致了非常高的纵横比垂直特征,这给纳米片(NSH)、栅极、间隔层和源/漏极(S/D)腔模式带来了关键挑战。氮化硅间隔层通常用于在S/D外延生长过程中对硅栅进行电隔离和保护,并精确定义通道长度(Lg)[1-4]。在这项工作中,我们将讨论间隔膜开度,S/D型腔轮廓的优化,并提出减少栅极硬掩模消耗的方案。我们能够通过调整特定的工艺参数,在堆栈的各种蚀刻和过蚀刻步骤中,拉直SiGe超晶格衬底中的S/D腔廓。通过TEM/EDS对空腔侧壁的化学分析,证实了钝化氧化氮化合物的形成是实现垂直空腔轮廓的关键。通过Si和SiGe25%薄片完成空腔的化学映射。含Si、O和N的钝化层存在于空腔中,其顶部较厚,底部较薄。此外,还研究了聚合物封顶方法,以减少间隔片蚀刻过程中氧化物硬掩膜(HM)的消耗。利用透射电镜对S/D凹槽蚀刻工艺进行了优化。
{"title":"Challenges for spacer and source/drain cavity patterning in CFET devices","authors":"G. Mannaert, H. Mertens, M. Hosseini, S. Demuynck, V. Nguyen, B. Chan, F. Lazzarino","doi":"10.1117/12.2658073","DOIUrl":"https://doi.org/10.1117/12.2658073","url":null,"abstract":"In a complementary-FET (CFET), n- and p- type transistors are stacked on top of each other. This stacking approach results in very high aspect ratio vertical features which brings critical challenges for nanosheet (NSH), gate, spacer, and source/drain (S/D) cavity patterning. Silicon nitride spacers are commonly used to electrically isolate and protect the silicon gate during S/D epitaxial growth and to precisely define the channel length (Lg) [1-4]. In this work, we will discuss the spacer film opening, the optimization of the S/D cavity profile and propose options to reduce the gate hard mask consumption. We were able to straighten the S/D cavity profile in the SiGe superlattice substrate by tuning specific process parameters, during the various etch and over-etch steps of the stack. Chemical analysis of the sidewall of the cavity, by TEM/EDS, confirmed that the formation of a passivation oxi-nitride compound is key to achieve vertical cavity profile. The chemical mapping of the cavity was done through the Si and SiGe25% sheets. A Si, O and N containing passivation layer is present in the cavity which seems to be thicker at the top and thinner at the bottom of the cavity. Furthermore, polymer capping methods were investigated to reduce the consumption of oxide hard mask (HM) during spacer etch. Process optimization for the cavity shape in the S/D recess etch was conducted using TEM characterization.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128130473","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Next generation imprint equipment for patterning high quality micro-optical elements 下一代压印设备,用于高质量的微光学元件
Pub Date : 2023-05-01 DOI: 10.1117/12.2657936
V. Kolli, F. Kloiber, S. Drieschner
Micro-optics are in great demand and indispensable key enabling elements in various emerging markets. High quality wafer-level micro-optics attract huge commercial interest in prestigious applications like 3D sensing and imaging for AR/VR in smart devices and automotive lighting [1]. The consumers aspire to have comprehensive functionalities on their smart gadgets, to enhance their view of the real environment by superimposing a virtual world. 3D sensing cameras with Time-of-Flight (ToF) modules provide a revolutionary virtual reality and imaging. A typical ToF module demands various highly efficient nano and micro-optical elements [2,3]. The next generation imprint equipment provided by SUSS MicroTec offers a versatile and sophisticated imprint mechanism to resolve complex microscale structures on a single imprint equipment. The advanced technical features include enhanced imprint gap measurement and a levelling mechanism, tunable forces during imprint, advanced design of microscopes for high alignment accuracy and cost-effective UV-LED flood exposure with high intensities. These comprehensive functionalities also make it possible to manufacture larger sets of stacked micro lens arrays with low aberration and minimal distortion of lens geometry. In this talk, we will present the capabilities of SUSS equipment for imprinting micro lens arrays (MLA) with alignment accuracy ≤ 1μm and less geometry variation < 1 %, over a 200 mm wafer surface. Moreover, in a high volume manufacturing environment, key to productivity are consistent and repeatable fabrication processes and operations. Therefore, we will also show the reliability of our equipment and the repeatability of producing high quality MLAs.
在各种新兴市场中,微光学的需求量很大,是不可或缺的关键要素。高质量的晶圆级微光学在智能设备和汽车照明中的AR/VR 3D传感和成像等著名应用中吸引了巨大的商业兴趣[1]。消费者渴望在他们的智能设备上拥有全面的功能,通过叠加虚拟世界来增强他们对真实环境的看法。具有飞行时间(ToF)模块的3D传感相机提供了革命性的虚拟现实和成像。一个典型的ToF模块需要各种高效的纳米和微光学元件[2,3]。由SUSS MicroTec提供的下一代压印设备提供了一个多功能和复杂的压印机制,以解决单个压印设备上复杂的微尺度结构。先进的技术特点包括增强的压印间隙测量和调平机制,压印过程中的可调力,先进的显微镜设计,具有高对准精度和高强度的高成本效益的UV-LED洪水曝光。这些全面的功能也使得制造更大的具有低像差和最小透镜几何畸变的堆叠微透镜阵列成为可能。在本次演讲中,我们将展示SUSS设备在200mm晶圆表面上印迹微透镜阵列(MLA)的能力,其对准精度≤1μm,几何变化小于1%。此外,在大批量生产环境中,生产效率的关键是一致和可重复的制造工艺和操作。因此,我们还将展示我们设备的可靠性和生产高质量mla的可重复性。
{"title":"Next generation imprint equipment for patterning high quality micro-optical elements","authors":"V. Kolli, F. Kloiber, S. Drieschner","doi":"10.1117/12.2657936","DOIUrl":"https://doi.org/10.1117/12.2657936","url":null,"abstract":"Micro-optics are in great demand and indispensable key enabling elements in various emerging markets. High quality wafer-level micro-optics attract huge commercial interest in prestigious applications like 3D sensing and imaging for AR/VR in smart devices and automotive lighting [1]. The consumers aspire to have comprehensive functionalities on their smart gadgets, to enhance their view of the real environment by superimposing a virtual world. 3D sensing cameras with Time-of-Flight (ToF) modules provide a revolutionary virtual reality and imaging. A typical ToF module demands various highly efficient nano and micro-optical elements [2,3]. The next generation imprint equipment provided by SUSS MicroTec offers a versatile and sophisticated imprint mechanism to resolve complex microscale structures on a single imprint equipment. The advanced technical features include enhanced imprint gap measurement and a levelling mechanism, tunable forces during imprint, advanced design of microscopes for high alignment accuracy and cost-effective UV-LED flood exposure with high intensities. These comprehensive functionalities also make it possible to manufacture larger sets of stacked micro lens arrays with low aberration and minimal distortion of lens geometry. In this talk, we will present the capabilities of SUSS equipment for imprinting micro lens arrays (MLA) with alignment accuracy ≤ 1μm and less geometry variation < 1 %, over a 200 mm wafer surface. Moreover, in a high volume manufacturing environment, key to productivity are consistent and repeatable fabrication processes and operations. Therefore, we will also show the reliability of our equipment and the repeatability of producing high quality MLAs.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134395890","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel assist layers to enhance EUV lithography performance of photoresists on different substrates 新的辅助层,以提高光刻胶在不同基材上的EUV光刻性能
Pub Date : 2023-05-01 DOI: 10.1117/12.2658529
Si Li, Joyce A. Lowes, Ruimeng Zhang, M. Luo, Kelsey E. Brakensiek, V. V. Driessche, D. Guerrero
In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow for higher aspect ratios. In addition, future EUV high numerical aperture (NA) is expected to require a decrease in thickness from the overall patterning stack. In this study, we are exploring a fundamentally new approach to developing an alternative and cost-effective underlayer to functionalize surfaces and enable EUV patterning. Rather than forming a 5-nm polymer film between the resist and its substrate, we propose to modify the substrate by spin-coating a thinner layer. In contrast to conventional underlayers (5–10 nm), the substrate is modified by a sub-1-nm layer during baking. Comprehensive analysis of the surface modification and coating was conducted by GPC, ellipsometer, and contact angle to identify the structure, stability, coating quality, and surface energy. Lithographic performance of existing EUV resist with the assist of this thin layer on Si wafers and different silicon hardmasks was evaluated using NXE3400 EUV exposure system to print HP14nm line-space features. It has been demonstrated that this sub-1-nm layer is able to realize HP14nm with a wider process window, higher depth of focus, and lower LWR on a Si wafer. Moreover, a silicon hardmask that could not realize printable features had significantly improved lithographic performance with the assist of this layer. Comparisons were also made with the industry-standard HMDS priming. Systematic analysis indicates that a sub-1-nm layer exemplifies a novel and effective way to enhance photoresist-substrate compatibility and improve EUV lithographic performance.
在极紫外光刻技术中,良好的抗蚀剂图案化需要在其下方有一个辅助层来提供附着力,以防止小特征的图案崩溃,并允许更高的长宽比。此外,未来的EUV高数值孔径(NA)预计需要减少整体图案堆栈的厚度。在这项研究中,我们正在探索一种全新的方法来开发一种可替代的、具有成本效益的底层,以使表面功能化并实现EUV图案。与其在抗蚀剂和衬底之间形成5nm的聚合物薄膜,我们建议通过旋转涂覆一层更薄的层来修饰衬底。与传统的衬底层(5-10 nm)相比,衬底在烘烤过程中被亚1纳米层修饰。采用GPC、椭偏仪、接触角等方法对表面改性和涂层进行了综合分析,以确定其结构、稳定性、涂层质量和表面能。利用NXE3400 EUV曝光系统对现有的EUV抗蚀剂在硅片和不同硅硬掩模上的薄层的光刻性能进行了评估,以打印HP14nm线空间特征。实验证明,该亚1nm层能够在硅晶片上以更宽的工艺窗口、更高的聚焦深度和更低的LWR实现HP14nm。此外,不能实现可打印特征的硅硬掩模在该层的帮助下,光刻性能显著提高。并与工业标准HMDS启动进行了比较。系统分析表明,亚1nm层是提高光阻-衬底兼容性和提高EUV光刻性能的一种新颖有效的方法。
{"title":"Novel assist layers to enhance EUV lithography performance of photoresists on different substrates","authors":"Si Li, Joyce A. Lowes, Ruimeng Zhang, M. Luo, Kelsey E. Brakensiek, V. V. Driessche, D. Guerrero","doi":"10.1117/12.2658529","DOIUrl":"https://doi.org/10.1117/12.2658529","url":null,"abstract":"In EUV lithography, good resist patterning requires an assist layer beneath it to provide adhesion to prevent pattern collapse of small features and allow for higher aspect ratios. In addition, future EUV high numerical aperture (NA) is expected to require a decrease in thickness from the overall patterning stack. In this study, we are exploring a fundamentally new approach to developing an alternative and cost-effective underlayer to functionalize surfaces and enable EUV patterning. Rather than forming a 5-nm polymer film between the resist and its substrate, we propose to modify the substrate by spin-coating a thinner layer. In contrast to conventional underlayers (5–10 nm), the substrate is modified by a sub-1-nm layer during baking. Comprehensive analysis of the surface modification and coating was conducted by GPC, ellipsometer, and contact angle to identify the structure, stability, coating quality, and surface energy. Lithographic performance of existing EUV resist with the assist of this thin layer on Si wafers and different silicon hardmasks was evaluated using NXE3400 EUV exposure system to print HP14nm line-space features. It has been demonstrated that this sub-1-nm layer is able to realize HP14nm with a wider process window, higher depth of focus, and lower LWR on a Si wafer. Moreover, a silicon hardmask that could not realize printable features had significantly improved lithographic performance with the assist of this layer. Comparisons were also made with the industry-standard HMDS priming. Systematic analysis indicates that a sub-1-nm layer exemplifies a novel and effective way to enhance photoresist-substrate compatibility and improve EUV lithographic performance.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126036485","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The damage control of sub layer while ion-driven etching with vertical carbon profile implemented 实现了垂直碳轮廓离子驱动刻蚀时子层的损伤控制
Pub Date : 2023-05-01 DOI: 10.1117/12.2657249
Seungjin Mun, Lina Yoo, Jongdeok Hong, J. Ahn, Kyung-yub Jeon, Ke Bai
As device scale down to sub 3nm, NMOS/PMOS boundary patterning becomes critical in logic product. This patterning requires highly directional etching while maintaining high selectivity to the base metal layer. In this paper, we demonstrated that the ion energy has the trade-off between the profile verticality and the surface damage. The ion energy was strongly controlled by the bias voltage and surface damage was improved with lower bias voltage, but profile verticality was deteriorated because of the ion angle dispersion. To enhance the profile verticality the carbon rich gas was added as the top passivation. The proposed method will be a practical in sub-3nm logic boundary patterning.
随着器件尺寸缩小到3nm以下,NMOS/PMOS边界图形在逻辑产品中变得至关重要。这种图案需要高度定向蚀刻,同时保持对基体金属层的高选择性。在本文中,我们证明了离子能量在剖面垂直度和表面损伤之间具有权衡关系。离子能量受偏置电压的强烈控制,较低的偏置电压可改善表面损伤,但离子角色散会导致剖面垂直度下降。为了提高剖面的垂直度,加入富碳气体作为顶部钝化。该方法将在亚3nm逻辑边界图中具有实用性。
{"title":"The damage control of sub layer while ion-driven etching with vertical carbon profile implemented","authors":"Seungjin Mun, Lina Yoo, Jongdeok Hong, J. Ahn, Kyung-yub Jeon, Ke Bai","doi":"10.1117/12.2657249","DOIUrl":"https://doi.org/10.1117/12.2657249","url":null,"abstract":"As device scale down to sub 3nm, NMOS/PMOS boundary patterning becomes critical in logic product. This patterning requires highly directional etching while maintaining high selectivity to the base metal layer. In this paper, we demonstrated that the ion energy has the trade-off between the profile verticality and the surface damage. The ion energy was strongly controlled by the bias voltage and surface damage was improved with lower bias voltage, but profile verticality was deteriorated because of the ion angle dispersion. To enhance the profile verticality the carbon rich gas was added as the top passivation. The proposed method will be a practical in sub-3nm logic boundary patterning.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114448108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A scientific framework for establishing ultrafast molecular dynamic research in imec’s AttoLab 在imec的AttoLab建立超快分子动力学研究的科学框架
Pub Date : 2023-05-01 DOI: 10.1117/12.2660047
L. Galleni, Faegheh S. Sajjadian, T. Conard, I. Pollentier, K. Dorney, F. Holzmeier, E. Larsen, Daniel Escudero, G. Pourtois, M. V. van Setten, Paul A. W. van der Heide, J. Petersen
Science stands on three legs: hypothesis, experiment, and simulation. This holds true for researching extreme ultraviolet (EUV) exposure of photoresist. Hypothesis: For resist exposure as patterns get smaller and closer together, approaching molecular units in width and resist-height, the molecular dynamics will limit the working resolution of the resist due to the formation of printing defects. Without taking proper consideration of these dynamics, the single-patterning lithography roadmap may end prematurely. Experimentally we are developing methods for sub-picosecond tracking of photoionization-induced processes. Using ultrashort pulses of light to excite and probe new materials with techniques that show the interactive dynamics of electronic and nuclear motion at the very limits of light-speed. This certainly holds true for exposing photoresists with EUV where ultrafast photoreactions induce chemical change via multiple pathways such as high-energy ionization fragmentation, recombination, and multispecies combination that ideally end in low-energy electron transfer reactions, analogous to lower energy photoreaction (but with a charge). In the nonideal case, these reaction processes lead to incompatible byproducts of the radiolysis that lead to types of stochastic defects. To do ultrafast studies we must build a foundation of knowledge using atomistic simulation to interpret transient molecular dynamic processes. Before we can do this, we need to learn how to simulate various spectral modalities to provide a starting point. In this work, we examine X-ray Photoelectron Spectroscopy of a model resist and use atomistic simulation to interpret the reactant-product composition of the spectral samples.
科学有三条腿:假设、实验和模拟。这适用于研究极紫外光(EUV)曝光的光刻胶。假设:对于抗蚀剂曝光,随着图案越来越小,越来越紧密,在宽度和抗蚀剂高度上接近分子单位,由于形成印刷缺陷,分子动力学将限制抗蚀剂的工作分辨率。如果不适当地考虑这些动态,单图案光刻路线图可能会过早结束。实验上,我们正在开发亚皮秒跟踪光电离诱导过程的方法。利用超短光脉冲来激发和探测新材料,这种技术显示了在光速极限下电子和核运动的相互作用动力学。这当然适用于用EUV暴露光刻胶,其中超快光反应通过多种途径诱导化学变化,如高能电离破碎,重组和多物质组合,理想情况下以低能电子转移反应结束,类似于低能光反应(但带电荷)。在非理想情况下,这些反应过程导致不相容的辐射分解副产物,从而导致各种随机缺陷。为了进行超快的研究,我们必须建立一个使用原子模拟来解释瞬态分子动力学过程的知识基础。在我们能做到这一点之前,我们需要学习如何模拟各种光谱模式,以提供一个起点。在这项工作中,我们研究了模型抗蚀剂的x射线光电子能谱,并使用原子模拟来解释光谱样品的反应物-产物组成。
{"title":"A scientific framework for establishing ultrafast molecular dynamic research in imec’s AttoLab","authors":"L. Galleni, Faegheh S. Sajjadian, T. Conard, I. Pollentier, K. Dorney, F. Holzmeier, E. Larsen, Daniel Escudero, G. Pourtois, M. V. van Setten, Paul A. W. van der Heide, J. Petersen","doi":"10.1117/12.2660047","DOIUrl":"https://doi.org/10.1117/12.2660047","url":null,"abstract":"Science stands on three legs: hypothesis, experiment, and simulation. This holds true for researching extreme ultraviolet (EUV) exposure of photoresist. Hypothesis: For resist exposure as patterns get smaller and closer together, approaching molecular units in width and resist-height, the molecular dynamics will limit the working resolution of the resist due to the formation of printing defects. Without taking proper consideration of these dynamics, the single-patterning lithography roadmap may end prematurely. Experimentally we are developing methods for sub-picosecond tracking of photoionization-induced processes. Using ultrashort pulses of light to excite and probe new materials with techniques that show the interactive dynamics of electronic and nuclear motion at the very limits of light-speed. This certainly holds true for exposing photoresists with EUV where ultrafast photoreactions induce chemical change via multiple pathways such as high-energy ionization fragmentation, recombination, and multispecies combination that ideally end in low-energy electron transfer reactions, analogous to lower energy photoreaction (but with a charge). In the nonideal case, these reaction processes lead to incompatible byproducts of the radiolysis that lead to types of stochastic defects. To do ultrafast studies we must build a foundation of knowledge using atomistic simulation to interpret transient molecular dynamic processes. Before we can do this, we need to learn how to simulate various spectral modalities to provide a starting point. In this work, we examine X-ray Photoelectron Spectroscopy of a model resist and use atomistic simulation to interpret the reactant-product composition of the spectral samples.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121006220","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Spin speed impact on photoresist thin film properties and EUV lithographic performance 旋转速度对光刻胶薄膜性能和极紫外光刻性能的影响
Pub Date : 2023-05-01 DOI: 10.1117/12.2659101
Yinjie Cen, Choong-Sun Lee, Li Cui, S. Coley, J. Park, Benjamin D. Naab-Rafael, E. Aqad, Rochelle Rena, Tyler R Paul, Thomas Penniman, Jason Behnke, Julia T Early, Benjamin Foltz
Chemically amplified resist (CAR) materials are widely used in advanced node patterning by extreme ultraviolet lithography (EUVL). To support the continuous requirement of reducing critical dimension (CD), CAR has been designed to process at tens of nanometer coating thickness while taking into consideration film roughness, aspect ratio, and etch transfer challenge. In this study, we investigated the impact of the photoresist’s different spin speed for same film thickness on resolution, line width roughness, and sensitivity (RLS) trade-off for Line and Space (L/S) patterns. We selected photoresists with identical chemical composition that differed only in total wt solid% in the solution. Photoresist films at constant thickness were investigated for the spin speed impacts on photoresist film density, hydrophobicity on the film surface, and film surface roughness. The corresponding EUV lithographic performance will be presented.
化学放大抗蚀剂(CAR)材料广泛应用于极紫外光刻(EUVL)先进节点图片化。为了支持降低临界尺寸(CD)的持续要求,CAR被设计为在考虑薄膜粗糙度、长宽比和蚀刻转移挑战的情况下,以数十纳米的涂层厚度进行加工。在这项研究中,我们研究了相同薄膜厚度下光刻胶的不同旋转速度对线和空间(L/S)图案的分辨率、线宽粗糙度和灵敏度(RLS)权衡的影响。我们选择了化学成分相同的光抗胶剂,不同的只是溶液中的总固体百分比。研究了恒定厚度下光刻胶膜的旋转速度对光刻胶膜密度、膜表面疏水性和膜表面粗糙度的影响。将介绍相应的极紫外光刻性能。
{"title":"Spin speed impact on photoresist thin film properties and EUV lithographic performance","authors":"Yinjie Cen, Choong-Sun Lee, Li Cui, S. Coley, J. Park, Benjamin D. Naab-Rafael, E. Aqad, Rochelle Rena, Tyler R Paul, Thomas Penniman, Jason Behnke, Julia T Early, Benjamin Foltz","doi":"10.1117/12.2659101","DOIUrl":"https://doi.org/10.1117/12.2659101","url":null,"abstract":"Chemically amplified resist (CAR) materials are widely used in advanced node patterning by extreme ultraviolet lithography (EUVL). To support the continuous requirement of reducing critical dimension (CD), CAR has been designed to process at tens of nanometer coating thickness while taking into consideration film roughness, aspect ratio, and etch transfer challenge. In this study, we investigated the impact of the photoresist’s different spin speed for same film thickness on resolution, line width roughness, and sensitivity (RLS) trade-off for Line and Space (L/S) patterns. We selected photoresists with identical chemical composition that differed only in total wt solid% in the solution. Photoresist films at constant thickness were investigated for the spin speed impacts on photoresist film density, hydrophobicity on the film surface, and film surface roughness. The corresponding EUV lithographic performance will be presented.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128045736","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fabrication of dual damascene structure with nanoimprint lithography and dry-etching 用纳米压印和干法蚀刻制备双大马士革结构
Pub Date : 2023-05-01 DOI: 10.1117/12.2657912
N. Takeuchi, Genna Hasegawa, T. Komukai, T. Iwasaki, M. Hatano, M. Komori, T. Kono
Nanoimprint lithography (NIL) has received attention as alternative lithographic technology, which can fabricate fine patterns of semiconductor devices at low cost. Application of NIL may lead to the reduction of number of process steps and cost of manufacturing of dual-damascene structure, by simultaneous fabrication of holes and trenches. Therefore, in this study, we investigated fabrication of dual-damascene structure using NIL and dry-etching. However, the difficulty in dry-etching process is high as the holes and trenches are etched together using single resist mask. Suppression of defects during the NIL process and the suppression of resist consumption and CD shift during the etching process, is critical. To address these issues, we used a high etching resistance resist, optimized the NIL process to reduce defects, and optimized the template structure and etching process to suppress resist consumption and CD shift. As a result, a dual-damascene structure with L/S = 4X/4X nm was obtained.
纳米压印光刻技术作为一种可替代的光刻技术,以低成本制造出精细的半导体器件图样而受到人们的关注。通过同时制造孔洞和沟槽,可以减少双大马士革结构的工艺步骤和制造成本。因此,在本研究中,我们研究了用零氮化镓和干法蚀刻制备双大马士革结构。然而,干式蚀刻工艺由于采用单一阻光刻膜同时蚀刻孔洞和沟槽,难度较大。抑制NIL过程中的缺陷和抑制蚀刻过程中的抗蚀剂消耗和CD移位是至关重要的。为了解决这些问题,我们使用了高蚀刻电阻的抗蚀剂,优化了NIL工艺以减少缺陷,优化了模板结构和蚀刻工艺以抑制抗蚀剂消耗和CD移位。得到了L/S = 4X/4X nm的双大马士革结构。
{"title":"Fabrication of dual damascene structure with nanoimprint lithography and dry-etching","authors":"N. Takeuchi, Genna Hasegawa, T. Komukai, T. Iwasaki, M. Hatano, M. Komori, T. Kono","doi":"10.1117/12.2657912","DOIUrl":"https://doi.org/10.1117/12.2657912","url":null,"abstract":"Nanoimprint lithography (NIL) has received attention as alternative lithographic technology, which can fabricate fine patterns of semiconductor devices at low cost. Application of NIL may lead to the reduction of number of process steps and cost of manufacturing of dual-damascene structure, by simultaneous fabrication of holes and trenches. Therefore, in this study, we investigated fabrication of dual-damascene structure using NIL and dry-etching. However, the difficulty in dry-etching process is high as the holes and trenches are etched together using single resist mask. Suppression of defects during the NIL process and the suppression of resist consumption and CD shift during the etching process, is critical. To address these issues, we used a high etching resistance resist, optimized the NIL process to reduce defects, and optimized the template structure and etching process to suppress resist consumption and CD shift. As a result, a dual-damascene structure with L/S = 4X/4X nm was obtained.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128124159","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A novel approach to etch-process-aware intensive layout retarget 一种蚀刻工艺感知密集布局重定位的新方法
Pub Date : 2023-05-01 DOI: 10.1117/12.2657880
Jeeyong Lee, Yangwoo Heo, Ryanggeun Lee, Sangwook Kim, Jisuk Hong, K. Koo, Chang-Yeol Yim, Jungmin Kim, Sooyong Lee, Joonsung Kim, Dongho Kim, Seung-Hune Yang, Seongtae Jeong
Patterning, a major process in semiconductor manufacturing, aims to transfer the design layout to the wafer. Accordingly, the "process proximity correction" method was developed to overcome the difference in after-cleaninginspected CD (critical dimension) between patterns of similar shapes. However, its physical model is often limited in the predictive performance. Therefore, recent studies have introduced ML (machine learning) technology to supplement model accuracy, but this approach often has an inherent risk of overfitting depending on the type of sampled pattern. In this study, we present a newly invented flow capable of stable etch-process-aware ML modeling by model reconstruction and large amounts of measurement data. The new modeling flow can also be performed within a reasonable runtime through efficient feature extraction. Based on the new model and its related layout targeting platform, intensive improvements were made to CD targeting and spread; for a given layout, in comparison with delicate rule-based modification, the CD targeting accuracy was improved by 4 times and approaches the limit of metrology error.
图形化是半导体制造中的一个重要过程,其目的是将设计布局转移到晶圆上。因此,开发了“过程接近校正”方法,以克服相似形状的图案在清洗后检查CD(关键尺寸)的差异。然而,其物理模型在预测性能上往往受到限制。因此,最近的研究引入了ML(机器学习)技术来补充模型的准确性,但这种方法通常具有固有的过拟合风险,这取决于采样模式的类型。在这项研究中,我们提出了一个新发明的流程,能够通过模型重建和大量的测量数据来实现稳定的蚀刻过程感知ML建模。新的建模流程还可以通过高效的特征提取在合理的运行时间内执行。基于新模式及其相关布局定位平台,对CD的定位和传播进行了密集改进;对于给定的布局,与精细规则修正相比,CD瞄准精度提高了4倍,接近计量误差的极限。
{"title":"A novel approach to etch-process-aware intensive layout retarget","authors":"Jeeyong Lee, Yangwoo Heo, Ryanggeun Lee, Sangwook Kim, Jisuk Hong, K. Koo, Chang-Yeol Yim, Jungmin Kim, Sooyong Lee, Joonsung Kim, Dongho Kim, Seung-Hune Yang, Seongtae Jeong","doi":"10.1117/12.2657880","DOIUrl":"https://doi.org/10.1117/12.2657880","url":null,"abstract":"Patterning, a major process in semiconductor manufacturing, aims to transfer the design layout to the wafer. Accordingly, the \"process proximity correction\" method was developed to overcome the difference in after-cleaninginspected CD (critical dimension) between patterns of similar shapes. However, its physical model is often limited in the predictive performance. Therefore, recent studies have introduced ML (machine learning) technology to supplement model accuracy, but this approach often has an inherent risk of overfitting depending on the type of sampled pattern. In this study, we present a newly invented flow capable of stable etch-process-aware ML modeling by model reconstruction and large amounts of measurement data. The new modeling flow can also be performed within a reasonable runtime through efficient feature extraction. Based on the new model and its related layout targeting platform, intensive improvements were made to CD targeting and spread; for a given layout, in comparison with delicate rule-based modification, the CD targeting accuracy was improved by 4 times and approaches the limit of metrology error.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129359464","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1