首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Mean free path of electrons in EUV photoresist in the energy range 20 to 450 eV 能量范围为20 ~ 450 eV的EUV光刻胶中电子的平均自由程
Pub Date : 2023-05-01 DOI: 10.1117/12.2658310
R. Fallica, N. Mahne, T. Conard, A. Vanleenhove, S. Nannarone
The blur caused by the nonzero mean free path of electrons in photoresist during extreme ultraviolet lithography has detrimental consequence on patterning resolution, but its effect is difficult to measure experimentally. In this work, a modified substrate-overlayer technique was used to evaluate the attenuation of the photoemission spectra produced in thin chemically amplified photoresist films. The inelastic mean free path of electrons was found to be between 1 to 2 nm in the entire range of interest for EUV lithography (20 to 100 eV kinetic energy). At higher kinetic energy, the mean free path increased consistently with well-known behavior. The presence of photoacid generator and quencher did not change the mean free path significantly (within experimental error).
在极紫外光刻过程中,光刻胶中电子的平均自由程非为零造成的模糊对图像分辨率有不利影响,但这种影响很难通过实验测量。在这项工作中,使用了一种改进的衬底-覆盖层技术来评估化学放大光刻胶薄膜中产生的光发射光谱的衰减。在EUV光刻(20至100 eV动能)的整个兴趣范围内,发现电子的非弹性平均自由程在1至2 nm之间。在较高的动能下,平均自由程与已知行为一致增加。光酸发生器和猝灭器的存在没有显著改变平均自由程(在实验误差范围内)。
{"title":"Mean free path of electrons in EUV photoresist in the energy range 20 to 450 eV","authors":"R. Fallica, N. Mahne, T. Conard, A. Vanleenhove, S. Nannarone","doi":"10.1117/12.2658310","DOIUrl":"https://doi.org/10.1117/12.2658310","url":null,"abstract":"The blur caused by the nonzero mean free path of electrons in photoresist during extreme ultraviolet lithography has detrimental consequence on patterning resolution, but its effect is difficult to measure experimentally. In this work, a modified substrate-overlayer technique was used to evaluate the attenuation of the photoemission spectra produced in thin chemically amplified photoresist films. The inelastic mean free path of electrons was found to be between 1 to 2 nm in the entire range of interest for EUV lithography (20 to 100 eV kinetic energy). At higher kinetic energy, the mean free path increased consistently with well-known behavior. The presence of photoacid generator and quencher did not change the mean free path significantly (within experimental error).","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129558635","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Enhancing the sensitivity of a high resolution negative-tone metal organic photoresist for extreme ultra violet lithography 提高极紫外光刻用高分辨率负色调金属有机光刻胶的灵敏度
Pub Date : 2023-05-01 DOI: 10.1117/12.2658324
S. Lewis, Hayden R. Alty, M. Vockenhuber, G. DeRose, D. Kazazis, G. Timco, James A. Mann, Paul L. Winpenny, A. Scherer, Y. Ekinci, R. Winpenny
In this paper, we report on a novel metal organic photoresist based on heterometallic rings that was designed for electron beam and extreme ultraviolet lithography. From initial electron beam lithography studies, the resist performance demonstrated excellent resolution of 15 nm half-pitch (HP) and a silicon dry etch selectivity of 100:1 but at the expense of sensitivity. To improve sensitivity, a 3D Monte Carlo simulation was employed that utilizes a secondary electron generation model. The simulation suggested that the sensitivity could be dramatically improved while maintaining high resolution by incorporating HgCl2 species into the resist molecular design. This considerably improved the resist sensitivity without losing the high resolution, where it was determined that the resist sensitivity was increased by a factor of 1.6 and 1.94 while demonstrating a resolution of 15 nm and 16 nm HP when exposed with electrons and EUV radiation respectively. Using x-ray photoelectron spectroscopy measurements, we show that after exposure to the electron beam the resist materials are transformed into a metal oxyfluoride and this is why the resist demonstrates high resistance to silicon dry etch conditions achieving a selectivity of 60:1 at a resolution of 15 nm HP.
本文报道了一种用于电子束和极紫外光刻的新型金属有机光刻胶。从最初的电子束光刻研究中,抗蚀剂性能表现出15 nm半间距(HP)的优异分辨率和100:1的硅干蚀刻选择性,但以牺牲灵敏度为代价。为了提高灵敏度,采用了利用二次电子生成模型的三维蒙特卡罗模拟。模拟结果表明,通过在抗蚀剂分子设计中加入HgCl2,可以在保持高分辨率的同时显著提高灵敏度。在不损失高分辨率的情况下,这大大提高了电阻灵敏度,其中确定电阻灵敏度增加了1.6和1.94倍,而暴露于电子和EUV辐射时分别显示出15 nm和16 nm HP的分辨率。使用x射线光电子能谱测量,我们表明,在暴露于电子束后,抗蚀剂材料转化为金属氟化氧,这就是为什么抗蚀剂对硅干蚀刻条件具有很高的抵抗力,在15 nm HP的分辨率下实现60:1的选择性。
{"title":"Enhancing the sensitivity of a high resolution negative-tone metal organic photoresist for extreme ultra violet lithography","authors":"S. Lewis, Hayden R. Alty, M. Vockenhuber, G. DeRose, D. Kazazis, G. Timco, James A. Mann, Paul L. Winpenny, A. Scherer, Y. Ekinci, R. Winpenny","doi":"10.1117/12.2658324","DOIUrl":"https://doi.org/10.1117/12.2658324","url":null,"abstract":"In this paper, we report on a novel metal organic photoresist based on heterometallic rings that was designed for electron beam and extreme ultraviolet lithography. From initial electron beam lithography studies, the resist performance demonstrated excellent resolution of 15 nm half-pitch (HP) and a silicon dry etch selectivity of 100:1 but at the expense of sensitivity. To improve sensitivity, a 3D Monte Carlo simulation was employed that utilizes a secondary electron generation model. The simulation suggested that the sensitivity could be dramatically improved while maintaining high resolution by incorporating HgCl2 species into the resist molecular design. This considerably improved the resist sensitivity without losing the high resolution, where it was determined that the resist sensitivity was increased by a factor of 1.6 and 1.94 while demonstrating a resolution of 15 nm and 16 nm HP when exposed with electrons and EUV radiation respectively. Using x-ray photoelectron spectroscopy measurements, we show that after exposure to the electron beam the resist materials are transformed into a metal oxyfluoride and this is why the resist demonstrates high resistance to silicon dry etch conditions achieving a selectivity of 60:1 at a resolution of 15 nm HP.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128908053","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Single-component silicon-based patterning materials for EUV lithography EUV光刻用单组分硅基图案化材料
Pub Date : 2023-05-01 DOI: 10.1117/12.2657889
J. Santillan, A. Konda, M. Shichiri, T. Itani
A single-component silsesquioxane (SQ)-based material was developed and investigated for patterning in extreme ultraviolet (EUV) lithography. This negative-tone SQ-material is soluble in the industry standard aqueous alkali developer 2.38wt% tetramethylammonium hydroxide (TMAH). Early experiments using electron beam (EB) lithography showed pattern capability in resolving 18nm line patterns (exposure dose: 2000 μC/cm2). After numerous screening evaluations using EB lithography, one variant was selected for patterning evaluation with EUV lithography. Patterning resolution was confirmed at 19nm line patterns (exposure dose: 200 mJ/cm2), with evidence of pattern modulation down to 15nm. As revealed in these preliminary patterning investigations, low sensitivity is the obvious issue. To understand the reaction mechanisms of this SQ-based material, various analyses were also carried out. Results reveal the occurrence of direct photo-crosslinking (no main scission) of Si-O-Si bonds from the decomposition of Si-OH components on exposure, resulting in insolubility in the developer solution (negative tone). Lastly, to address the sensitivity issue, the application of what we refer to as “Sensitivity Enhancer Unit” was utilized. EB lithography results show an improvement in sensitivity indicating a possible solution to the low sensitivity issue. These results show the potential applicability of the single-component SQ-based patterning material for both EUV and EB lithography.
研制了一种单组分硅氧烷(SQ)基材料,并对其在极紫外(EUV)光刻中进行了研究。这种负色调sq -材料可溶于工业标准含水碱显影剂2.38wt%四甲基氢氧化铵(TMAH)。电子束光刻的早期实验显示,在曝光剂量为2000 μC/cm2的情况下,可以分辨出18nm的线图。在使用EB光刻进行多次筛选评估后,选择了一个变体进行EUV光刻的图案评估。图案分辨率在19nm线模式(暴露剂量:200 mJ/cm2)得到证实,有证据表明图案调制低至15nm。正如这些初步的模式调查所揭示的,低灵敏度是一个明显的问题。为了了解这种sq基材料的反应机理,还进行了各种分析。结果表明,暴露时Si-OH组分分解导致Si-O-Si键发生直接光交联(无主断裂),导致在显影液中不溶解(负色调)。最后,为了解决灵敏度问题,我们使用了所谓的“灵敏度增强器单元”。电子束光刻结果显示灵敏度的提高,表明可能解决低灵敏度问题。这些结果表明了单组分sq基图像化材料在EUV和EB光刻中的潜在适用性。
{"title":"Single-component silicon-based patterning materials for EUV lithography","authors":"J. Santillan, A. Konda, M. Shichiri, T. Itani","doi":"10.1117/12.2657889","DOIUrl":"https://doi.org/10.1117/12.2657889","url":null,"abstract":"A single-component silsesquioxane (SQ)-based material was developed and investigated for patterning in extreme ultraviolet (EUV) lithography. This negative-tone SQ-material is soluble in the industry standard aqueous alkali developer 2.38wt% tetramethylammonium hydroxide (TMAH). Early experiments using electron beam (EB) lithography showed pattern capability in resolving 18nm line patterns (exposure dose: 2000 μC/cm2). After numerous screening evaluations using EB lithography, one variant was selected for patterning evaluation with EUV lithography. Patterning resolution was confirmed at 19nm line patterns (exposure dose: 200 mJ/cm2), with evidence of pattern modulation down to 15nm. As revealed in these preliminary patterning investigations, low sensitivity is the obvious issue. To understand the reaction mechanisms of this SQ-based material, various analyses were also carried out. Results reveal the occurrence of direct photo-crosslinking (no main scission) of Si-O-Si bonds from the decomposition of Si-OH components on exposure, resulting in insolubility in the developer solution (negative tone). Lastly, to address the sensitivity issue, the application of what we refer to as “Sensitivity Enhancer Unit” was utilized. EB lithography results show an improvement in sensitivity indicating a possible solution to the low sensitivity issue. These results show the potential applicability of the single-component SQ-based patterning material for both EUV and EB lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121022636","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
New functional surface treatment process and primers for high-NA EUV lithography 高na极紫外光刻新功能表面处理工艺及引物
Pub Date : 2023-05-01 DOI: 10.1117/12.2659979
Wataru Shibayama, Shuhei Shigaki, S. Takeda, Kodai Kato, M. Nakajima, Rikimaru Sakamoto
For EUV high NA lithography, current conventional tri-layer procss has the critical issue both for EUV litho performance & pattern etch transfer. Especially since the latest EUV PR including CAR and MOR is very low film thickness around 10nm, Si containing hard mask (Si-HM) should be around 5nm. In this case, it is too difficult to transfer to SOC and the bottom hard mask layer. In order to prevent this critical issue, we proose new functional surface treatment process and primers (FSTP) on the conventional CVD hard mask or Si-HM. This FSTP is spin coating materials. However it is almost sigle molecular type ultra thin primer (~1nm) for all of the CVD & spin on hard mask (SiON, SiN, TiN, SiO2, SiHM, SOG and so on) not to bother fine pitch pattern transfer. Moreover, this FSTP has high universalithy to EUV PR CAR and MOR to achieve high patterning performance in EUVL. Therefore FSTP has big advantage in EUV litho process and pattern etch transfer for next generation High NA EUV process.
对于EUV高NA光刻,目前传统的三层工艺在EUV光刻性能和图案蚀刻转移方面存在关键问题。特别是由于最新的EUV PR(包括CAR和MOR)的膜厚度非常低,约为10nm,含硅硬掩膜(Si- hm)应在5nm左右。在这种情况下,很难转移到SOC和底层硬掩膜层。为了防止这一关键问题,我们在传统的CVD硬掩膜或Si-HM上提出了新的功能表面处理工艺和底漆(FSTP)。这种FSTP是旋转涂层材料。然而,它几乎是单分子型超薄底漆(~1nm),适用于所有硬掩膜(SiON, SiN, TiN, SiO2, SiHM, SOG等)上的CVD和自旋,不会影响精细间距图案转移。此外,该FSTP对EUV、PR、CAR和MOR具有较高的通用性,可在EUVL中实现较高的图形化性能。因此,FSTP在EUV光刻工艺和下一代高NA EUV工艺的图案蚀刻转移方面具有很大的优势。
{"title":"New functional surface treatment process and primers for high-NA EUV lithography","authors":"Wataru Shibayama, Shuhei Shigaki, S. Takeda, Kodai Kato, M. Nakajima, Rikimaru Sakamoto","doi":"10.1117/12.2659979","DOIUrl":"https://doi.org/10.1117/12.2659979","url":null,"abstract":"For EUV high NA lithography, current conventional tri-layer procss has the critical issue both for EUV litho performance & pattern etch transfer. Especially since the latest EUV PR including CAR and MOR is very low film thickness around 10nm, Si containing hard mask (Si-HM) should be around 5nm. In this case, it is too difficult to transfer to SOC and the bottom hard mask layer. In order to prevent this critical issue, we proose new functional surface treatment process and primers (FSTP) on the conventional CVD hard mask or Si-HM. This FSTP is spin coating materials. However it is almost sigle molecular type ultra thin primer (~1nm) for all of the CVD & spin on hard mask (SiON, SiN, TiN, SiO2, SiHM, SOG and so on) not to bother fine pitch pattern transfer. Moreover, this FSTP has high universalithy to EUV PR CAR and MOR to achieve high patterning performance in EUVL. Therefore FSTP has big advantage in EUV litho process and pattern etch transfer for next generation High NA EUV process.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134059798","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reaction mechanisms and EB patterning evaluation of Sn-complex-side-chain polymer used for EUV lithography EUV光刻用sn络合侧链聚合物的反应机理及EB图化评价
Pub Date : 2023-05-01 DOI: 10.1117/12.2670173
Yui Takata, Y. Muroya, T. Kozawa, S. Enomoto, B. Naqvi, D. De Simone
Since 2019, the extreme ultraviolet lithography (EUVL) has been applied to the high-volume production of devices. For further scaling, high-numerical aperture (NA) tool and resist materials applicable to high-NA EUVL are required. However, there are no resists applicable to high-NA EUVL. These days, resist materials containing Sn whose EUV absorption cross section is particularly high are attracting much attention. In this research, radiation-induced reaction mechanisms of Sncomplex- side-chain polymers were investigated to obtain the guidelines of material design.
自2019年以来,极紫外光刻技术(EUVL)已应用于器件的大批量生产。为了进一步缩放,需要适用于高数值孔径EUVL的高数值孔径(NA)工具和抗蚀剂材料。然而,目前还没有适用于高na EUVL的抗蚀剂。近年来,含有锡的抗蚀剂材料因其极紫外光吸收截面特别高而备受关注。本研究研究了单侧链聚合物的辐射诱导反应机理,为材料设计提供指导。
{"title":"Reaction mechanisms and EB patterning evaluation of Sn-complex-side-chain polymer used for EUV lithography","authors":"Yui Takata, Y. Muroya, T. Kozawa, S. Enomoto, B. Naqvi, D. De Simone","doi":"10.1117/12.2670173","DOIUrl":"https://doi.org/10.1117/12.2670173","url":null,"abstract":"Since 2019, the extreme ultraviolet lithography (EUVL) has been applied to the high-volume production of devices. For further scaling, high-numerical aperture (NA) tool and resist materials applicable to high-NA EUVL are required. However, there are no resists applicable to high-NA EUVL. These days, resist materials containing Sn whose EUV absorption cross section is particularly high are attracting much attention. In this research, radiation-induced reaction mechanisms of Sncomplex- side-chain polymers were investigated to obtain the guidelines of material design.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134512797","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advances in low GWP etch gasses 低GWP腐蚀气体的研究进展
Pub Date : 2023-05-01 DOI: 10.1117/12.2660136
C. Jennings, Phong Nguyen, Scott R Biltek, Nathan Stafford
Fluorinated species are ubiquitous in semiconductor manufacturing, yet are known to have global warming potentials thousands of times higher than CO2. As abatement technologies are not completely effective and add additional costs, interest in reducing these emissions increases with semiconductor manufacturing volumes. We explore alternative chemistries for common plasma etch applications that retain patterning performance but with near zero GWP. Spectroscopic identification and quantification of etch byproducts is presented to demonstrate the beneficial environmental impacts of transitioning from the most common etch gasses.
含氟物质在半导体制造业中无处不在,但已知其全球变暖潜能值比二氧化碳高数千倍。由于减排技术并不完全有效,而且还会增加额外的成本,因此随着半导体制造量的增加,减少这些排放的兴趣也在增加。我们探索用于普通等离子蚀刻应用的替代化学物质,这些化学物质保留了图案性能,但GWP接近于零。光谱鉴定和蚀刻副产物的定量提出,以证明从最常见的蚀刻气体过渡对环境的有益影响。
{"title":"Advances in low GWP etch gasses","authors":"C. Jennings, Phong Nguyen, Scott R Biltek, Nathan Stafford","doi":"10.1117/12.2660136","DOIUrl":"https://doi.org/10.1117/12.2660136","url":null,"abstract":"Fluorinated species are ubiquitous in semiconductor manufacturing, yet are known to have global warming potentials thousands of times higher than CO2. As abatement technologies are not completely effective and add additional costs, interest in reducing these emissions increases with semiconductor manufacturing volumes. We explore alternative chemistries for common plasma etch applications that retain patterning performance but with near zero GWP. Spectroscopic identification and quantification of etch byproducts is presented to demonstrate the beneficial environmental impacts of transitioning from the most common etch gasses.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133191710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Seamless micro and nanopatterned drum molds based on ultrasonic indentation 基于超声压痕的无缝微型和纳米图案鼓形模具
Pub Date : 2023-05-01 DOI: 10.1117/12.2663867
S. Furst, Nichole Cates, Lauren Micklow
Roll-to-roll nanoimprint lithography offers a method to scale functional micro and nanopatterned surfaces for a wide array of applications. However, creating a sufficiently large, seamless drum mold is still often prohibitively expensive or impossible. This patterning becomes especially difficult when the periodicity of the pattern is smaller than half the wavelength of visible light, the limit for UV interference lithography. High-speed indentation via a novel process called “Nanocoining” has been demonstrated to overcome this issue. Thus far, Nanocoining has been used to create cylindrical molds up to 6.5 inches in diameter and 6 inches in length with a patterning rate of more than one square inch per minute. The process has been demonstrated with features between 250 nm and 5 μm in pitch (center-to-center distance) and aspect ratios (height:pitch) of up to 0.6, and the resulting molds have successfully embossed into more than 500 linear feet of film. In this proceeding, we will present the background and state of the art of this technology as well as recent efforts to control feature shape for applications like microlens arrays. We’ll also introduce new concepts, including using indentation to create seamless, cylindrical photomasks for roll-to-roll patterning of resists without the residual layer that is typically left behind by nanoimprint lithography.
卷对卷纳米压印光刻为广泛的应用提供了一种缩放功能微和纳米图案表面的方法。然而,创建一个足够大的,无缝鼓模具仍然往往是昂贵的或不可能的。当图案的周期性小于可见光波长的一半时,这种图案变得特别困难,这是紫外线干涉光刻的极限。通过一种被称为“纳米压痕”的新工艺,高速压痕已经被证明可以克服这个问题。到目前为止,纳米制模技术已经被用于制造直径6.5英寸、长度6英寸的圆柱形模具,制模速度超过每分钟1平方英寸。该工艺已被证明具有250 nm至5 μm间距(中心到中心距离)和高达0.6的宽高比(高度:间距),并且所得到的模具已成功地压印成超过500线性英尺的薄膜。在本程序中,我们将介绍该技术的背景和现状,以及最近在微透镜阵列等应用中控制特征形状的努力。我们还将介绍新的概念,包括使用压痕来创建无缝的圆柱形光掩膜,用于卷对卷的抗蚀剂图案,而没有通常由纳米压印光刻留下的残余层。
{"title":"Seamless micro and nanopatterned drum molds based on ultrasonic indentation","authors":"S. Furst, Nichole Cates, Lauren Micklow","doi":"10.1117/12.2663867","DOIUrl":"https://doi.org/10.1117/12.2663867","url":null,"abstract":"Roll-to-roll nanoimprint lithography offers a method to scale functional micro and nanopatterned surfaces for a wide array of applications. However, creating a sufficiently large, seamless drum mold is still often prohibitively expensive or impossible. This patterning becomes especially difficult when the periodicity of the pattern is smaller than half the wavelength of visible light, the limit for UV interference lithography. High-speed indentation via a novel process called “Nanocoining” has been demonstrated to overcome this issue. Thus far, Nanocoining has been used to create cylindrical molds up to 6.5 inches in diameter and 6 inches in length with a patterning rate of more than one square inch per minute. The process has been demonstrated with features between 250 nm and 5 μm in pitch (center-to-center distance) and aspect ratios (height:pitch) of up to 0.6, and the resulting molds have successfully embossed into more than 500 linear feet of film. In this proceeding, we will present the background and state of the art of this technology as well as recent efforts to control feature shape for applications like microlens arrays. We’ll also introduce new concepts, including using indentation to create seamless, cylindrical photomasks for roll-to-roll patterning of resists without the residual layer that is typically left behind by nanoimprint lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133299427","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Molecular layer deposition of an Al-based hybrid resist for electron-beam and EUV lithography 电子束和极紫外光刻用铝基杂化抗蚀剂的分子层沉积
Pub Date : 2023-05-01 DOI: 10.1117/12.2657636
A. Ravi, Jingwei Shi, Jacqueline Lewis, S. Bent
As lithographic techniques advance in their capabilities of shrinking microelectronics devices, the need for improved resist materials, especially for extreme ultraviolet (EUV), has become increasingly pressing. In this work, we study the molecular layer deposition (MLD) of an Al-based hybrid thin film resist, known as “alucone,” extending our previous research that tested the Hf-based hybrid thin film “hafnicone” as an EUV resist. Alucone is grown at 100 ºC using the metal precursor trimethylaluminum and the organic precursor ethylene glycol. Like hafnicone, alucone behaves as a negative tone resist that can resolve 50-nm line widths, though preliminary data suggest that alucone’s line patterns are more sharply defined than those of hafnicone. Whereas hafnicone’s sensitivity is 400 μC/cm2 using 3 M HCl as the developer, alucone’s sensitivity is not yet as good (4800 μC/cm2 using 0.125 M HCl). Our study of alucone offers new insight into structural features of an MLD film that can lead to desired EUV-responsive behavior. This insight may accelerate the development of vapor-deposited inorganic resists for use in electron-beam and EUV lithography.
随着光刻技术在缩小微电子器件能力方面的进步,对改进的抗蚀剂材料的需求,特别是对极紫外(EUV)的需求,变得越来越迫切。在这项工作中,我们研究了al基杂化薄膜抗蚀剂(称为“alucone”)的分子层沉积(MLD),扩展了我们之前测试hf基杂化薄膜“hafnicone”作为EUV抗蚀剂的研究。铝酮是用金属前体三甲基铝和有机前体乙二醇在100℃下生长的。与hafnicone一样,alucone的行为是一种负色调抗蚀剂,可以分辨50纳米的线宽,尽管初步数据表明alucone的线模式比hafnicone的线模式更清晰。以3 M HCl为显影剂时,hafnicone的灵敏度为400 μC/cm2,而alucone的灵敏度为4800 μC/cm2 (0.125 M HCl)。我们对铝酮的研究为MLD薄膜的结构特征提供了新的见解,可以导致期望的euv响应行为。这一发现可能会加速用于电子束和EUV光刻的气相沉积无机抗蚀剂的发展。
{"title":"Molecular layer deposition of an Al-based hybrid resist for electron-beam and EUV lithography","authors":"A. Ravi, Jingwei Shi, Jacqueline Lewis, S. Bent","doi":"10.1117/12.2657636","DOIUrl":"https://doi.org/10.1117/12.2657636","url":null,"abstract":"As lithographic techniques advance in their capabilities of shrinking microelectronics devices, the need for improved resist materials, especially for extreme ultraviolet (EUV), has become increasingly pressing. In this work, we study the molecular layer deposition (MLD) of an Al-based hybrid thin film resist, known as “alucone,” extending our previous research that tested the Hf-based hybrid thin film “hafnicone” as an EUV resist. Alucone is grown at 100 ºC using the metal precursor trimethylaluminum and the organic precursor ethylene glycol. Like hafnicone, alucone behaves as a negative tone resist that can resolve 50-nm line widths, though preliminary data suggest that alucone’s line patterns are more sharply defined than those of hafnicone. Whereas hafnicone’s sensitivity is 400 μC/cm2 using 3 M HCl as the developer, alucone’s sensitivity is not yet as good (4800 μC/cm2 using 0.125 M HCl). Our study of alucone offers new insight into structural features of an MLD film that can lead to desired EUV-responsive behavior. This insight may accelerate the development of vapor-deposited inorganic resists for use in electron-beam and EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122434245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel UPE filtration technology for advanced photolithography materials 用于先进光刻材料的新型UPE过滤技术
Pub Date : 2023-05-01 DOI: 10.1117/12.2657483
Ryo Yokoyama, Akihito Ui, Christi A. Dawydiak, Vinay Kalyani
High resolution, line edge roughness, and sensitivity are the key performance factors to accelerate EUV lithography into high volume manufacturing. EUV is still a developing technology with several intriguing components, such as high NA exposure system and metal oxide resist [1,2]. In terms of cleanliness, the photoresist (PR) and Spin-on carbons (SOC) in underlayers need to have the same level of cleanliness from defect sources to meet the yield targets in the successive photolithography process after exposure. Filtration technology to remove defect sources from raw materials are continuously evolving to adapt to unique behaviors and compatibility of EUV materials. UPE (ultrahigh molecular weight polyethylene) filtration is a critical technology to remove small particles consistently. In this study, UPE filter development is examined to meet the needs of EUV materials. The filter performance was evaluated with underlayer materials. A new design of UPE membrane morphology achieved significant improvements. The details of the extensive experimental result are discussed in the report.
高分辨率,线边缘粗糙度和灵敏度是加速EUV光刻进入大批量生产的关键性能因素。EUV仍是一项发展中的技术,有几个有趣的组成部分,如高NA暴露系统和金属氧化物抗蚀剂[1,2]。在清洁度方面,底层的光刻胶(PR)和自旋碳(SOC)需要具有相同的缺陷源清洁度,以满足曝光后连续光刻工艺的良率目标。为了适应极紫外光材料的独特性能和兼容性,从原材料中去除缺陷源的过滤技术也在不断发展。UPE(超高分子量聚乙烯)过滤是一项关键技术,以去除小颗粒一致。在本研究中,研究了UPE过滤器的发展以满足EUV材料的需求。用底层材料对过滤性能进行了评价。一种新的UPE膜形态设计取得了显著的改善。报告中详细讨论了广泛的实验结果。
{"title":"Novel UPE filtration technology for advanced photolithography materials","authors":"Ryo Yokoyama, Akihito Ui, Christi A. Dawydiak, Vinay Kalyani","doi":"10.1117/12.2657483","DOIUrl":"https://doi.org/10.1117/12.2657483","url":null,"abstract":"High resolution, line edge roughness, and sensitivity are the key performance factors to accelerate EUV lithography into high volume manufacturing. EUV is still a developing technology with several intriguing components, such as high NA exposure system and metal oxide resist [1,2]. In terms of cleanliness, the photoresist (PR) and Spin-on carbons (SOC) in underlayers need to have the same level of cleanliness from defect sources to meet the yield targets in the successive photolithography process after exposure. Filtration technology to remove defect sources from raw materials are continuously evolving to adapt to unique behaviors and compatibility of EUV materials. UPE (ultrahigh molecular weight polyethylene) filtration is a critical technology to remove small particles consistently. In this study, UPE filter development is examined to meet the needs of EUV materials. The filter performance was evaluated with underlayer materials. A new design of UPE membrane morphology achieved significant improvements. The details of the extensive experimental result are discussed in the report.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128912560","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Advanced development methods for high-NA EUV lithography 高na极紫外光刻技术的先进开发方法
Pub Date : 2023-05-01 DOI: 10.1117/12.2655928
C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau
One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.
(极紫外)极紫外光刻成图链的关键步骤之一是极紫外光曝光后抗蚀剂图案的显影过程。在高数值孔径(NA) EUV光刻中,简单的传统开发工艺可能不足以实现低缺陷水平的超高分辨率特征。在我们之前的文献中,已经引入了一种名为ESPERTTM(增强灵敏度显影技术)的新开发方法,通过打破剂量-粗糙度权衡来提高0.33 NA EUV光刻的金属氧化物抗蚀剂(MOR)的性能。在这项工作中,该开发技术针对高na光刻进行了优化,不仅保留了先前ESPERTTM版本的优点,而且在更高的EUV灵敏度下降低了缺陷水平。这是由于新版本的ESPERTTM的能力,可以很容易地去除残留(未显影抗蚀剂)在低暴露剂量区域,以增强显影对比度。在16纳米半间距(HP)线/空间(L/S)模式上使用0.33 NA EUV扫描仪,采用新的开发方法,EUV剂量尺寸比(DtS)减少了约16%,同时开发后检查(ADI)总缺陷减少了约7倍。在另一种条件下,DtS从44.2 mJ/cm²降低到28.4 mJ/cm²(提高了36%),同时蚀刻后检测(AEI)单桥缺陷数量减少了一半。在美国劳伦斯伯克利国家实验室的0.5 NA曝光工具上使用该方法,曝光灵敏度和线宽粗糙度(LWR)分别提高了30%和21%。该工具还成功打印出了8 nm- hp的L/S图案。利用150 kV电子束(EB)光刻系统,利用ESPERTTM在22 nm厚的MOR抗蚀剂上成功打印了12 nm- hp的柱。这种新的开发方法具有高曝光灵敏度、低缺陷和超高分辨率的优点,有望成为高na EUV光刻的解决方案。
{"title":"Advanced development methods for high-NA EUV lithography","authors":"C. Dinh, S. Nagahara, Yuhei Kuwahara, Arnaud Dauendorffer, Soichiro Okada, S. Fujimoto, S. Kawakami, S. Shimura, M. Muramatsu, Kayoko Cho, Xiang Liu, K. Nafus, M. Carcasi, Ankur Agarwal, M. Somervell, L. Huli, Kanzo Kato, M. Kocsis, P. de Schepper, S. Meyers, Lauren McQuade, K. Kasahara, J. Garcia Santaclara, R. Hoefnagels, C. Anderson, P. Naulleau","doi":"10.1117/12.2655928","DOIUrl":"https://doi.org/10.1117/12.2655928","url":null,"abstract":"One of the key steps in the pattern formation chain of (extreme ultraviolet) EUV lithography is the development process to resolve the resist pattern after EUV exposure. A simple traditional development process might not be sufficient to achieve the requirements of an ultra-high-resolution feature with low defect levels in high numerical aperture (NA) EUV lithography. In our previous literature, a new development method named ESPERTTM (Enhanced Sensitivity develoPER TechnologyTM) has been introduced to improve the performance of metal oxide resists (MOR) for 0.33 NA EUV lithography by breaking the dose-roughness trade-off. In this work, this development technique was optimised for high-NA lithography to not only keep the advantages of previous ESPERTTM version, but also reduce the defect levels at a higher EUV sensitivity. This is made possible thanks to the capability of the new version of ESPERTTM that can easily remove the residue (undeveloped resist) at low exposure dose area to enhance the developing contrast. Using 0.33 NA EUV scanners at imec on 16-nm half-pitch (HP) line/space (L/S) patterns, with the new development method, EUV dose-to-size (DtS) was reduced roughly 16%, and total after-development-inspection (ADI) defects was reduced by a factor of approximately 7, simultaneously. In another condition, DtS was reduced from 44.2 to 28.4 mJ/cm² (an improvement of 36%), while the number of after-etch-inspection (AEI) single-bridge defects was reduced by half, simultaneously. Using the 0.5 NA exposure tool at Lawrence Berkeley National Laboratory with this new development method, the exposure sensitivity and line-width-roughness (LWR) were both improved by 30% and 21%, respectively. An 8-nm-HP L/S pattern was also successfully printed by this high NA tool. Using a 150 kV electron-beam (EB) lithography system, a 12-nm-HP of pillars was successfully printed on a 22-nm-thick MOR resist with ESPERTTM. With all the advantages of having a high exposure sensitivity, a low defectivity, and an ultra-high-resolution capability, this new development method is expected to be a solution for high-NA EUV lithography.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128507893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1