首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters 通过新型高性能使用点(POU)滤波器降低EUV电阻的缺陷
Pub Date : 2023-05-01 DOI: 10.1117/12.2660389
Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent
Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.
用于极紫外(EUV)光刻化学品的滤光剂,如化学放大光刻胶(CAR),因其在大批量生产中去除聚集物质和减少微桥的能力而具有吸引力。与大流量循环模式中使用的散装过滤器不同,使用点(POU)过滤器采用单通道模式,因此保留性能和清洁度成为最关键的因素。之前的报告已经展示了通过使用最先进的HDPE膜过滤器Pall®sub-1nm HDPE (XPR3L)过滤EUV光阻来减少晶圆上缺陷的好处。在这项研究中,我们提出了一种新型的HDPE过滤器,专门设计用于提供高保留性能,这主要是通过改善膜的保留特性和成品POU过滤器的清洁度来实现的。该膜被设计成具有更细的孔径和更好的孔几何形状,以改善缺陷保留。为了加快过滤器启动过程,采用优化的设备清洗流程进一步提高初始清洁度,通过GC-MS、LC-MS/MS和ICP-MS等测量结果表明。最后,在TEL CleanTrack™LITHIUS Pro™-Z和ASML NXE:3400B组成的imec EUV簇上对POU滤波器进行了评估,并在16nm L/S的图像化晶圆上获得了比较缺陷数据。
{"title":"Defectivity reduction in EUV resists through novel high-performance Point-Of-Use (POU) filters","authors":"Yiren Zhang, T. Umeda, Hirokazu Sakakibara, S. A. U. Ibrahim, Atsushi Sakamoto, Amarnauth Singh, R. Shick, K. Skjonnemand, P. Foubert, Waut Drent","doi":"10.1117/12.2660389","DOIUrl":"https://doi.org/10.1117/12.2660389","url":null,"abstract":"Filters for Extreme Ultra-Violet (EUV) lithography chemicals, like chemically amplified photoresist (CAR), are attractive because of their capabilities to remove aggregated species and reduce microbridges in high volume manufacturing. Unlike bulk filters used in high-flow circulation mode, point-of-use (POU) filter is used in single-pass mode, so the retention performance and cleanliness become the most critical factors. Earlier presentations have demonstrated the benefit of reducing on-wafer defectivities through filtration of EUV photoresists with the state-of-the-art HDPE membranes filters, Pall® sub-1nm HDPE (XPR3L). In this study, we present a novel HDPE filter specifically designed to provide high retention performance, which is mainly enabled by an improvement in retention characteristics of membrane and cleanliness in finished POU filters. The membrane was designed to have a finer pore size and better pore geometry to improve defect retention. To expedite the filter start-up process, optimized device cleaning process was applied to further improve initial cleanliness, which was indicated by GC-MS, LC-MS/MS and ICP-MS measurements, etc. Finally, the POU filters were evaluated at imec EUV cluster consisting of TEL CleanTrack™ LITHIUS Pro™-Z and ASML NXE:3400B, and comparative defect data was obtained from patterned wafers with 16nm L/S.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128926209","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Coater/developer-based techniques to achieve tight pitches towards high-NA EUV 基于涂层/显影剂的技术,以实现高na EUV的紧密间距
Pub Date : 2023-05-01 DOI: 10.1117/12.2657290
Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo
As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness
随着半导体行业不断推动集成电路制造的极限,对极紫外光刻(EUVL)的依赖也在增加。此外,很明显,需要新的技术和方法来减轻光刻和蚀刻中的图案缺陷和粗糙度,并消除与薄膜相关的缺陷。这些方法需要进一步改进工艺化学品和光刻工艺设备,以获得更精细的图案。本文综述了利用金属氧化物抗蚀剂(MOR)实现亚30nm线和空间以及亚40nm柱的EUV图像化的涂层/显影剂工艺的最新进展。我们表明,将新材料与优化的照明和工艺相结合,有助于降低最小临界尺寸、缺陷和粗糙度
{"title":"Coater/developer-based techniques to achieve tight pitches towards high-NA EUV","authors":"Kanzo Kato, L. Huli, N. Antonovich, D. Hetzer, Steven Grzeskowiak, E. Liu, Akiteru Ko, S. Shimura, S. Kawakami, T. Kitano, S. Nagahara, Luciana Meli, I. Seshadri, M. Burkhardt, K. Petrillo","doi":"10.1117/12.2657290","DOIUrl":"https://doi.org/10.1117/12.2657290","url":null,"abstract":"As the semiconductor industry continues to push the limits of integrated circuit fabrication, reliance on extreme ultraviolet lithography (EUVL) has increased. Additionally, it has become clear that new techniques and methods are needed to mitigate pattern defectivity and roughness at lithography and etching and eliminate film-related defects. These approaches require further improvements to the process chemicals and the lithography process equipment to achieve finer patterns [1]. This paper reviews the ongoing progress in coater/developer processes to enable EUV patterning with sub-30 nm line and space and sub-40 nm pillars by using metal oxide resist (MOR). We show that combining new material with optimized illumination and processes helped reduce the minimum critical dimension size, defectivity, and roughness","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127893711","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Positive tone i-line photoresist with controlled undercut profile for advanced packaging 正色调i线光刻胶与控制下切轮廓先进的包装
Pub Date : 2023-05-01 DOI: 10.1117/12.2657694
Walter Liu, C. Chen, PingHung Lu, S. Lai, Y. Sakurai
With the progress of advanced packaging, the RDL metal line and μBump stability and super electrical performance were highly concerned. The photoresist with undercut profile is required to obtain the RDL metal line and μBump with footing. The AZ® 3DT-400 series formulation is a chemically amplified positive-tone i-line photoresist developed by EMD Electronics which a special additive was introduced to generate the undercut profile. The undercut size and shape can be adjusted with the loading of the additive. After optimizing the formulation, the desired undercut length larger than 10% of the target CD and the undercut height less than 10% of target CD were achieved.
随着先进封装技术的发展,RDL金属线和μBump的稳定性和超电性能受到了人们的高度关注。为了获得RDL金属线和有立脚的μBump,需要带下切轮廓的光刻胶。AZ®3DT-400系列配方是EMD Electronics开发的化学放大正色调i线光刻胶,其中引入了一种特殊添加剂来产生凹边轮廓。凹边的大小和形状可随添加剂的添加量而调整。优化配方后,获得了大于目标CD 10%的侧切长度和小于目标CD 10%的侧切高度。
{"title":"Positive tone i-line photoresist with controlled undercut profile for advanced packaging","authors":"Walter Liu, C. Chen, PingHung Lu, S. Lai, Y. Sakurai","doi":"10.1117/12.2657694","DOIUrl":"https://doi.org/10.1117/12.2657694","url":null,"abstract":"With the progress of advanced packaging, the RDL metal line and μBump stability and super electrical performance were highly concerned. The photoresist with undercut profile is required to obtain the RDL metal line and μBump with footing. The AZ® 3DT-400 series formulation is a chemically amplified positive-tone i-line photoresist developed by EMD Electronics which a special additive was introduced to generate the undercut profile. The undercut size and shape can be adjusted with the loading of the additive. After optimizing the formulation, the desired undercut length larger than 10% of the target CD and the undercut height less than 10% of target CD were achieved.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127915898","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Potential of biomass EUV non-CAR type resist for high-NA EUV lithography 生物质EUV非car型抗蚀剂用于高na EUV光刻的潜力
Pub Date : 2023-05-01 DOI: 10.1117/12.2657938
Kazuyo Morita, Yasuaki Tanaka, Yuji Tanaka, M. Asai
A biomass EUV non-CAR type resist is proposed as a sustainable material for reducing CO2 emissions. It was demonstrated that HP 8 nm L/S pattern formation is required for a 1.5 nm node device with a biomass EUV resist. Furthermore, two approaches for improving the EUV lithography performance of biomass EUV resists are proposed: resist structure and lithography process improvement. The pattern quality was improved upon improving the resist structure. In addition, the lithography process [pre-exposure metal infiltration (PreMi) process and the new lithography process] improved the sensitivity of the biomass EUV resist. This proves that the biomass EUV resist can be used in high-NA EUV lithography at 8 nm.
提出了一种生物质EUV非car型抗蚀剂作为减少二氧化碳排放的可持续材料。结果表明,采用生物质EUV阻剂的1.5 nm节点器件需要HP 8 nm的L/S模式形成。提出了提高生物质EUV光刻性能的两种途径:光刻胶结构改进和光刻工艺改进。通过改进抗蚀剂结构,提高了图案质量。此外,光刻工艺[预曝光金属渗透(PreMi)工艺和新型光刻工艺]提高了生物质EUV抗蚀剂的灵敏度。这证明生物质极紫外光刻胶可用于8nm的高na极紫外光刻。
{"title":"Potential of biomass EUV non-CAR type resist for high-NA EUV lithography","authors":"Kazuyo Morita, Yasuaki Tanaka, Yuji Tanaka, M. Asai","doi":"10.1117/12.2657938","DOIUrl":"https://doi.org/10.1117/12.2657938","url":null,"abstract":"A biomass EUV non-CAR type resist is proposed as a sustainable material for reducing CO2 emissions. It was demonstrated that HP 8 nm L/S pattern formation is required for a 1.5 nm node device with a biomass EUV resist. Furthermore, two approaches for improving the EUV lithography performance of biomass EUV resists are proposed: resist structure and lithography process improvement. The pattern quality was improved upon improving the resist structure. In addition, the lithography process [pre-exposure metal infiltration (PreMi) process and the new lithography process] improved the sensitivity of the biomass EUV resist. This proves that the biomass EUV resist can be used in high-NA EUV lithography at 8 nm.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124348385","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Mask errors impact on grayscale lithography patterning 掩模错误对灰度光刻图版的影响
Pub Date : 2023-05-01 DOI: 10.1117/12.2657600
U. Palanchoke, Gaby Bélot, S. Bérard-Bergery, Juline Saugnier, E. Sungauer, C. Beylier, F. Tomaso, M. Pourteau, Ivanie Mendes, R. Coquand, A. Bernadac
Impact of mask CD errors on microlens and pillar structures fabricated using grayscale lithography technique is studied. CD errors were evaluated from the mask SEM images using contour based metrology. Mask error enhancement factor for grayscale lithography is proposed based on mask (or design) chromium density for given 3D structure to be patterned. Impact of mean-to-target CD mask error and local CD variations on target critical parameters were studied separately. For grayscale lithography, the global mask error enhancement factor calculated to study impact of mask CD errors were found to be non linear and highly dependent on the mask (or layout) chromium density. Surface topography of given grayscale target was found to be highly dependent on the local CD variations. We also found that intentional local CD variation can be used to effectively tune certain target parameters.
研究了掩模CD误差对灰度光刻技术制备的微透镜和微柱结构的影响。利用基于轮廓的测量方法对掩模SEM图像进行CD误差评估。提出了基于掩模(或设计)铬密度的灰度光刻掩模误差增强因子。分别研究了均值到目标CD掩模误差和局部CD变化对目标关键参数的影响。对于灰度光刻,用于研究掩模CD误差影响的全局掩模误差增强因子是非线性的,并且高度依赖于掩模(或版面)铬密度。发现给定灰度目标的表面形貌高度依赖于局部CD的变化。我们还发现,有意的局部CD变化可以用来有效地调整某些目标参数。
{"title":"Mask errors impact on grayscale lithography patterning","authors":"U. Palanchoke, Gaby Bélot, S. Bérard-Bergery, Juline Saugnier, E. Sungauer, C. Beylier, F. Tomaso, M. Pourteau, Ivanie Mendes, R. Coquand, A. Bernadac","doi":"10.1117/12.2657600","DOIUrl":"https://doi.org/10.1117/12.2657600","url":null,"abstract":"Impact of mask CD errors on microlens and pillar structures fabricated using grayscale lithography technique is studied. CD errors were evaluated from the mask SEM images using contour based metrology. Mask error enhancement factor for grayscale lithography is proposed based on mask (or design) chromium density for given 3D structure to be patterned. Impact of mean-to-target CD mask error and local CD variations on target critical parameters were studied separately. For grayscale lithography, the global mask error enhancement factor calculated to study impact of mask CD errors were found to be non linear and highly dependent on the mask (or layout) chromium density. Surface topography of given grayscale target was found to be highly dependent on the local CD variations. We also found that intentional local CD variation can be used to effectively tune certain target parameters.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124443531","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Continuous large area oxide printing from liquid metals 液态金属连续大面积氧化印刷
Pub Date : 2023-05-01 DOI: 10.1117/12.2657566
M. Vong, Minsik Kong, Unyong Jeong, M. Dickey
Amorphous metal oxides (AMO) are a class of semiconducting materials that show promising application in optoelectronics because of their high carrier mobility and optical transparency. By alloying with other metallic species and regulating the oxygen vacancies, the carrier mobility, and the optical bandgap energy of AMOs can be modified. This customizability not only broadens the operating window of AMOs in optoelectronics but also further enables other applications, such as digital memory devices and thin-film-transistors. Typically, AMO thin films are obtained by conventional chemical or physical vapor deposition; however, these processes generally require undesirable toxic gas precursors, a vacuum environment, and a long processing time. Gallium-based liquid metals (LMs) – a class of metals that exist as liquid at or near room temperature – naturally forms an ultrathin layer of AMO (~3nm) on their surface under ambient conditions. Herein, we propose a method to harness this feature to continuously deposit gallium oxide (GaOx) and gallium indium oxide (GaInOx) traces with their host LMs at or near ambient conditions.
非晶金属氧化物(AMO)是一类具有高载流子迁移率和光学透明性的半导体材料,在光电子学领域具有广阔的应用前景。通过与其他金属合金化和调节氧空位,可以改变AMOs的载流子迁移率和光学带隙能。这种可定制性不仅拓宽了AMOs在光电子学中的操作窗口,而且还进一步实现了其他应用,如数字存储器件和薄膜晶体管。通常,AMO薄膜是通过常规的化学或物理气相沉积获得的;然而,这些工艺通常需要不良的有毒气体前体,真空环境和较长的处理时间。镓基液态金属(LMs)是一类在室温或接近室温下以液态存在的金属,在环境条件下,它们的表面会自然形成一层超薄的AMO (~3nm)。在此,我们提出了一种方法来利用这一特性在环境条件下或附近连续沉积氧化镓(GaOx)和氧化镓铟(GaInOx)的迹线及其宿主lm。
{"title":"Continuous large area oxide printing from liquid metals","authors":"M. Vong, Minsik Kong, Unyong Jeong, M. Dickey","doi":"10.1117/12.2657566","DOIUrl":"https://doi.org/10.1117/12.2657566","url":null,"abstract":"Amorphous metal oxides (AMO) are a class of semiconducting materials that show promising application in optoelectronics because of their high carrier mobility and optical transparency. By alloying with other metallic species and regulating the oxygen vacancies, the carrier mobility, and the optical bandgap energy of AMOs can be modified. This customizability not only broadens the operating window of AMOs in optoelectronics but also further enables other applications, such as digital memory devices and thin-film-transistors. Typically, AMO thin films are obtained by conventional chemical or physical vapor deposition; however, these processes generally require undesirable toxic gas precursors, a vacuum environment, and a long processing time. Gallium-based liquid metals (LMs) – a class of metals that exist as liquid at or near room temperature – naturally forms an ultrathin layer of AMO (~3nm) on their surface under ambient conditions. Herein, we propose a method to harness this feature to continuously deposit gallium oxide (GaOx) and gallium indium oxide (GaInOx) traces with their host LMs at or near ambient conditions.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124547911","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Sequence-defined polypeptoid CARs for electron-beam and EUV lithography 用于电子束和EUV光刻的序列定义多肽CARs
Pub Date : 2023-05-01 DOI: 10.1117/12.2658413
F. Käfer, C. Ober, Z. MEng, R. Segalman, Javier Read de Alaniz
Polymeric photoresists are limited in their sensitivity, resolution, and line-edge roughness due in large part to their molar mass distribution and variation in composition of single polymer chains. While most synthetic polymers, have monomer units distributed randomly along the polymer chain, polypeptoids are, however, characterized by low stochastics i.e., identical chains with extremely low chemical, structural, and molar mass variability with a widely adjustable length and composition. In this work we describe the synthesis of 10 repeat-unit polypeptoids designed as a photopolymer and demonstrate their potential as CARs evaluated by electron-beam, DUV and extreme-UV lithography, obtaining well defined line-space patterns of less than 30 nm half-pitch.
聚合物光刻胶在灵敏度、分辨率和线边粗糙度方面受到限制,这在很大程度上是由于它们的摩尔质量分布和单链聚合物组成的变化。虽然大多数合成聚合物的单体单元沿聚合物链随机分布,但多肽的特点是低随机性,即相同的链具有极低的化学、结构和摩尔质量变异性,具有广泛可调的长度和组成。在这项工作中,我们描述了作为光聚合物设计的10个重复单元多肽的合成,并通过电子束,DUV和极紫外光刻技术证明了它们作为car的潜力,获得了小于30 nm半间距的清晰线空间图案。
{"title":"Sequence-defined polypeptoid CARs for electron-beam and EUV lithography","authors":"F. Käfer, C. Ober, Z. MEng, R. Segalman, Javier Read de Alaniz","doi":"10.1117/12.2658413","DOIUrl":"https://doi.org/10.1117/12.2658413","url":null,"abstract":"Polymeric photoresists are limited in their sensitivity, resolution, and line-edge roughness due in large part to their molar mass distribution and variation in composition of single polymer chains. While most synthetic polymers, have monomer units distributed randomly along the polymer chain, polypeptoids are, however, characterized by low stochastics i.e., identical chains with extremely low chemical, structural, and molar mass variability with a widely adjustable length and composition. In this work we describe the synthesis of 10 repeat-unit polypeptoids designed as a photopolymer and demonstrate their potential as CARs evaluated by electron-beam, DUV and extreme-UV lithography, obtaining well defined line-space patterns of less than 30 nm half-pitch.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121283369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
High transfer fidelity via nanoimprint lithography of patterns for bioelectronics applications 高传递保真度通过纳米压印光刻模式的生物电子应用
Pub Date : 2023-05-01 DOI: 10.1117/12.2657991
P. Güell‐Grau, M. Asbahi, S. Smout, M. Willegems, B. K. Kotowska, M. Traub, S. Lenci, E. Storace, S. Severi
Precise control on nanoscale pattern manufacturing is key to enable new-generation devices in numerous fields, such as bioelectronics or optics, among others. However, to meet the requirements of the industry, it is especially relevant to increase throughput and reduce processing costs. In this regard, Nano-Imprint Lithography (NIL) is an ideal candidate for manufacturing large volumes of devices with low cost-of-ownership, by replicating small features from high-quality masters. However, NIL faces some challenges, such as limited pattern transfer fidelity in large-area processing. Here, we show our NIL processing capabilities, in terms of both yield and transfer fidelity from original DUV manufactured masters, including features from few hundred to tens of nanometers. We present an exhaustive study on the pattern evolution through the complete process, including design, master fabrication, NIL and subsequent pattern transfer via etching. This study demonstrates the inclusion of NIL into our foundry-mature, CMOS-compatible process modules as well as the pattern evolution characterization that enable technology-aware modelling and designing.
精确控制纳米尺度的图案制造是在许多领域,如生物电子学或光学等,实现新一代器件的关键。然而,为了满足行业的要求,提高吞吐量和降低加工成本尤为重要。在这方面,纳米压印光刻(NIL)是制造大量低成本设备的理想选择,通过复制高质量大师的小特征。然而,在大面积的图像处理中,零值识别面临着模式转移保真度有限的挑战。在这里,我们展示了我们的NIL处理能力,从原始DUV制造的母片的良率和转移保真度方面,包括几百到几十纳米的特征。我们在整个过程中对图案演变进行了详尽的研究,包括设计,主制造,NIL和随后的蚀刻图案转移。本研究展示了将NIL包含到我们的铸造厂成熟,cmos兼容的工艺模块中,以及实现技术感知建模和设计的模式演变表征。
{"title":"High transfer fidelity via nanoimprint lithography of patterns for bioelectronics applications","authors":"P. Güell‐Grau, M. Asbahi, S. Smout, M. Willegems, B. K. Kotowska, M. Traub, S. Lenci, E. Storace, S. Severi","doi":"10.1117/12.2657991","DOIUrl":"https://doi.org/10.1117/12.2657991","url":null,"abstract":"Precise control on nanoscale pattern manufacturing is key to enable new-generation devices in numerous fields, such as bioelectronics or optics, among others. However, to meet the requirements of the industry, it is especially relevant to increase throughput and reduce processing costs. In this regard, Nano-Imprint Lithography (NIL) is an ideal candidate for manufacturing large volumes of devices with low cost-of-ownership, by replicating small features from high-quality masters. However, NIL faces some challenges, such as limited pattern transfer fidelity in large-area processing. Here, we show our NIL processing capabilities, in terms of both yield and transfer fidelity from original DUV manufactured masters, including features from few hundred to tens of nanometers. We present an exhaustive study on the pattern evolution through the complete process, including design, master fabrication, NIL and subsequent pattern transfer via etching. This study demonstrates the inclusion of NIL into our foundry-mature, CMOS-compatible process modules as well as the pattern evolution characterization that enable technology-aware modelling and designing.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122965213","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel polymer design for ultra-low stress material for advanced packaging applications 新型聚合物设计的超低应力材料用于先进的包装应用
Pub Date : 2023-05-01 DOI: 10.1117/12.2658391
F. Meyer, M. Koch, K. Nishikawa, G. Larbig, K. Taniguchi
Multi-RDL architectures in WLP are pushing established materials like polyimide to their limits. Key limitations of these material are high temperature curing and shrinkage which leads to warpage and yield losses. We are developing a dielectric packaging material that has minimal stress upon curing while being compatible with established packaging processes. Another goal is to keep dielectric constant and loss factor as low as possible to ensure compatibility with high frequency applications. Additional feature for this new polymer class is high temperature stability.
WLP中的多rdl架构正在将聚酰亚胺等现有材料推向其极限。这些材料的主要限制是高温固化和收缩,导致翘曲和产量损失。我们正在开发一种电介质包装材料,在固化时具有最小的应力,同时与已建立的包装工艺兼容。另一个目标是保持介电常数和损耗因子尽可能低,以确保与高频应用的兼容性。这种新型聚合物的另一个特点是高温稳定性。
{"title":"Novel polymer design for ultra-low stress material for advanced packaging applications","authors":"F. Meyer, M. Koch, K. Nishikawa, G. Larbig, K. Taniguchi","doi":"10.1117/12.2658391","DOIUrl":"https://doi.org/10.1117/12.2658391","url":null,"abstract":"Multi-RDL architectures in WLP are pushing established materials like polyimide to their limits. Key limitations of these material are high temperature curing and shrinkage which leads to warpage and yield losses. We are developing a dielectric packaging material that has minimal stress upon curing while being compatible with established packaging processes. Another goal is to keep dielectric constant and loss factor as low as possible to ensure compatibility with high frequency applications. Additional feature for this new polymer class is high temperature stability.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123321174","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Development on main chain scission resists for high-NA EUV lithography 高na极紫外光刻用主链断裂抗蚀剂的研制
Pub Date : 2023-05-01 DOI: 10.1117/12.2657506
A. Shirotori, M. Hoshino, M. Fujimura, Sin Fu Yeh, H. Suh, D. De Simone, G. Vandenberghe, Hideaki Sanuki
In this work, we introduce main chain scission resists with new concept for High-NA’s generation and report their lithography performance. Zeon has developed a new resist (ZER02#06M) in order to improve both resolution and lithography performance because conventional Zeon resists generated worse resolution and kissing(C/H), pinching(L/S) defects at tight pitch by top loss. The concreate approaches in order to improve the challenges are that to make scission reaction efficient and to get developability at exposed area higher in changing both monomers and functional groups. Zeon aims to make clear threshold between exposed and un-exposed area and improve low contrast and sensitivity at tight patterns especially. The lithography performance of ZER02#06M with their approaches were able to improve LCDU and margin with low LCDU on litho-performance compared to ZER02#04DM and ZER02#05M. Additionally, Zeon resists are indicated to have long-terms stability during litho-process with delay.
在本工作中,我们介绍了具有新概念的High-NA代主链断裂电阻,并报告了它们的光刻性能。Zeon开发了一种新的抗蚀剂(ZER02#06M),以提高分辨率和光刻性能,因为传统的Zeon抗蚀剂在紧螺距处由于顶部损失而产生较差的分辨率和接吻(C/H),挤压(L/S)缺陷。解决这一难题的具体途径是通过改变单体和官能团来提高裂解反应效率和暴露区域的显影性。Zeon旨在明确曝光和未曝光区域之间的阈值,特别是在密集模式下改善低对比度和灵敏度。与ZER02#04DM和ZER02#05M相比,采用他们的方法的ZER02#06M光刻性能能够提高lcd和低lcd对光刻性能的影响。此外,Zeon抗蚀剂在光刻过程中具有长期的延迟稳定性。
{"title":"Development on main chain scission resists for high-NA EUV lithography","authors":"A. Shirotori, M. Hoshino, M. Fujimura, Sin Fu Yeh, H. Suh, D. De Simone, G. Vandenberghe, Hideaki Sanuki","doi":"10.1117/12.2657506","DOIUrl":"https://doi.org/10.1117/12.2657506","url":null,"abstract":"In this work, we introduce main chain scission resists with new concept for High-NA’s generation and report their lithography performance. Zeon has developed a new resist (ZER02#06M) in order to improve both resolution and lithography performance because conventional Zeon resists generated worse resolution and kissing(C/H), pinching(L/S) defects at tight pitch by top loss. The concreate approaches in order to improve the challenges are that to make scission reaction efficient and to get developability at exposed area higher in changing both monomers and functional groups. Zeon aims to make clear threshold between exposed and un-exposed area and improve low contrast and sensitivity at tight patterns especially. The lithography performance of ZER02#06M with their approaches were able to improve LCDU and margin with low LCDU on litho-performance compared to ZER02#04DM and ZER02#05M. Additionally, Zeon resists are indicated to have long-terms stability during litho-process with delay.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123371075","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1