首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Replication of 3D patterns from a grayscale resist master by nanoimprint process 用纳米压印技术复制灰度抗蚀剂母版的三维图案
Pub Date : 2023-05-01 DOI: 10.1117/12.2658384
Api Warsono, Diana-Stephany Fernandez Rodas, J. Reche, Anaïs De Lehelle D'Affroux, Sébastien Berard Bergery
First, Grayscale I-Line lithography process developed in CEA-Leti allows to manufacture a variety of 3D patterns based on the well-known photolithography technology. Grayscale photolithography is an innovative and alternative approach to create 3D patterns such as microlenses for example. Exposure of a low contrast resist at different doses results in different thicknesses in the resist film. The variation of the intrinsic dose is obtained by using a binary mask that has different chromium densities, thus modulating the exposure intensity on the resist surface1. Secondly, the NanoImprint Lithography (NIL) is a technology capable of reproducing a wide morphological range. NIL is increasingly requested for the reproduction of 3D patterns. Initially, standard NIL process uses a hard master usually composed of Si or SiO2. The proposed work validates the quality of the replication by the NanoImprint process of a "resist master" created by grayscale lithography. This approach facilitates the manufacturing process of a master by avoiding the etching step and offering a cost-effective solution. The measurement of several types of 3D patterns are performed before and after replication during this study. CD preservation is evaluated for 32 types of microlenses simultaneously replicated. Finally, the combination of the Grayscale and NanoImprint technologies allows to considerably increasing the printing possibilities. By freeing the difficulties of multiple patterns morphology conservation during the etching, the replication of a resist master permits other potential applications, particularly in the optical field.
首先,在ea - leti开发的灰度i线光刻工艺允许在众所周知的光刻技术的基础上制造各种3D图案。灰度光刻技术是一种创新的、可替代的方法来创建3D模式,例如微透镜。在不同剂量下曝光低对比度的抗蚀剂会导致抗蚀剂膜的厚度不同。本征剂量的变化是通过使用具有不同铬密度的二元掩模来获得的,从而调节抗蚀剂表面的暴露强度1。其次,纳米压印光刻(NIL)是一种能够再现广泛形态范围的技术。对于3D图案的再现,越来越多地要求使用NIL。最初,标准NIL工艺使用通常由Si或SiO2组成的硬母材。提出的工作验证了纳米压印工艺对灰度光刻产生的“抗蚀母片”的复制质量。这种方法通过避免蚀刻步骤并提供具有成本效益的解决方案,简化了母片的制造过程。在本研究中,在复制前后进行了几种类型3D图案的测量。对32种同时复制的微透镜进行CD保存评价。最后,灰度和纳米压印技术的结合可以大大增加印刷的可能性。通过消除蚀刻过程中多种模式形态保存的困难,抗蚀剂母片的复制允许其他潜在的应用,特别是在光学领域。
{"title":"Replication of 3D patterns from a grayscale resist master by nanoimprint process","authors":"Api Warsono, Diana-Stephany Fernandez Rodas, J. Reche, Anaïs De Lehelle D'Affroux, Sébastien Berard Bergery","doi":"10.1117/12.2658384","DOIUrl":"https://doi.org/10.1117/12.2658384","url":null,"abstract":"First, Grayscale I-Line lithography process developed in CEA-Leti allows to manufacture a variety of 3D patterns based on the well-known photolithography technology. Grayscale photolithography is an innovative and alternative approach to create 3D patterns such as microlenses for example. Exposure of a low contrast resist at different doses results in different thicknesses in the resist film. The variation of the intrinsic dose is obtained by using a binary mask that has different chromium densities, thus modulating the exposure intensity on the resist surface1. Secondly, the NanoImprint Lithography (NIL) is a technology capable of reproducing a wide morphological range. NIL is increasingly requested for the reproduction of 3D patterns. Initially, standard NIL process uses a hard master usually composed of Si or SiO2. The proposed work validates the quality of the replication by the NanoImprint process of a \"resist master\" created by grayscale lithography. This approach facilitates the manufacturing process of a master by avoiding the etching step and offering a cost-effective solution. The measurement of several types of 3D patterns are performed before and after replication during this study. CD preservation is evaluated for 32 types of microlenses simultaneously replicated. Finally, the combination of the Grayscale and NanoImprint technologies allows to considerably increasing the printing possibilities. By freeing the difficulties of multiple patterns morphology conservation during the etching, the replication of a resist master permits other potential applications, particularly in the optical field.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132086530","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Novel approach of patterning technologies enabling monolithic micro-optical components 新方法的图像化技术使单片微光学元件
Pub Date : 2023-05-01 DOI: 10.1117/12.2661529
J. Wolf, M. Ferstl, A. Voigt, S. Grützner, A. Schleunitz, G. Grützner
We propose a novel approach of combined patterning technologies to manufacture individualized micro-optical components as required for the integration of system-level optical packaging, e.g. for coupling light into on-chip level waveguides. The presented work consists of an innovative combination of inkjet printing of available optical polymers onto a prepatterned substrate and UV-replication which enables the manufacturing processes for tailor-made polymeric hybrid and biconvex micro-optical components. For this, inkjet printing of the optical polymers InkOrmo or InkEpo is used as a dispensing technique for additive manufacturing. The ink is printed into designated cavities on a patterned substrate that shows either diffractive or refractive features. After UV-induced polymerization, the cured component is separated from the soft mold substrate. This results in a combination of either a diffractive and a refractive element or two convex refractive elements in one monolithic component. The refractive part on top is self-organized by the surface energy and the shape is adjusted with the amount of dispensed ink enabling to tune the refractive power of the lens. The diffractive structure or convex shape on the opposite side of the lens is obtained by replicating the shape of the prepatterned substrate. Such advanced micro-optic components allow in principle a higher degree of system integration and thus further system miniaturization by e.g. substituting a multi lens system with a single hybrid lens. This novel manufacturing concept is composed to cost-effectively implement design requirements, making tailor-made diffractive-refractive lenses easily accessible e.g. to the MEMS/MOEMS community.
我们提出了一种新的组合模式技术的方法,以制造个性化的微光元件,需要集成系统级光封装,例如将光耦合到片上级波导。所介绍的工作包括将可用的光学聚合物喷墨打印到预图案基板上和紫外线复制的创新组合,这使得定制聚合物混合和双凸微光学组件的制造过程成为可能。为此,光学聚合物InkOrmo或InkEpo的喷墨打印被用作增材制造的点胶技术。油墨被印刷到指定的空腔中,在有图案的衬底上显示出衍射或折射的特征。在紫外线诱导聚合后,固化的组分与软模基材分离。这导致在一个单片组件中的衍射和折射元件或两个凸折射元件的组合。上面的折射部分是由表面能自组织的,其形状随所分配的墨水量而调整,从而可以调节透镜的折射能力。透镜对面的衍射结构或凸形状是通过复制所述预图纹衬底的形状而获得的。这种先进的微光学元件原则上允许更高程度的系统集成,从而通过例如用单个混合透镜代替多透镜系统来进一步实现系统小型化。这种新颖的制造概念旨在经济有效地实现设计要求,使定制的衍射折射透镜易于获得,例如MEMS/MOEMS社区。
{"title":"Novel approach of patterning technologies enabling monolithic micro-optical components","authors":"J. Wolf, M. Ferstl, A. Voigt, S. Grützner, A. Schleunitz, G. Grützner","doi":"10.1117/12.2661529","DOIUrl":"https://doi.org/10.1117/12.2661529","url":null,"abstract":"We propose a novel approach of combined patterning technologies to manufacture individualized micro-optical components as required for the integration of system-level optical packaging, e.g. for coupling light into on-chip level waveguides. The presented work consists of an innovative combination of inkjet printing of available optical polymers onto a prepatterned substrate and UV-replication which enables the manufacturing processes for tailor-made polymeric hybrid and biconvex micro-optical components. For this, inkjet printing of the optical polymers InkOrmo or InkEpo is used as a dispensing technique for additive manufacturing. The ink is printed into designated cavities on a patterned substrate that shows either diffractive or refractive features. After UV-induced polymerization, the cured component is separated from the soft mold substrate. This results in a combination of either a diffractive and a refractive element or two convex refractive elements in one monolithic component. The refractive part on top is self-organized by the surface energy and the shape is adjusted with the amount of dispensed ink enabling to tune the refractive power of the lens. The diffractive structure or convex shape on the opposite side of the lens is obtained by replicating the shape of the prepatterned substrate. Such advanced micro-optic components allow in principle a higher degree of system integration and thus further system miniaturization by e.g. substituting a multi lens system with a single hybrid lens. This novel manufacturing concept is composed to cost-effectively implement design requirements, making tailor-made diffractive-refractive lenses easily accessible e.g. to the MEMS/MOEMS community.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132895337","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Evaluation of TiN hardmask films to prevent line wiggling due to plasma-induced film stress 防止等离子体诱导薄膜应力引起的线摆动的TiN硬掩膜的评价
Pub Date : 2023-05-01 DOI: 10.1117/12.2661307
A. Turnquist, N. Kofuji, J. Sebastian, Z. Liu, H. Kou, H. Fukuda, Y. Tomczak, Y. Sun, D. Piumi, D. D. Roest
The impact of both intrinsic and plasma-induced stress of a TiN hardmask on line wiggling was investigated via etching of p-SiOCH with 28 nm pitch, line and space (L/S) EUV resist patterning. Experimental stacks included crystalline PVD TiN with an intrinsic stress of +0.1 GPa and several PEALD TiN films with varying crystallinity and intrinsic stresses ranging from -3.6 GPa (compressive) to +0.2 GPa (tensile). Results confirmed that reduction of intrinsic TiN stress can prevent wiggling1 when the mask is not exposed to plasma during process flow. However, when TiN is exposed to plasma as in a typical back end of line (BEOL) process2-3, compressive stress increased in all films and resulted in wiggling even in the patterned PVD TiN sample with low intrinsic stress. This global increase in compressive stress due to plasma exposure did not correlate with intrinsic stress values, therefore, this work suggests a greater focus should be placed on plasma-induced stress to avoid line wiggling when selecting a TiN film. Further investigation found that increased surface roughness of the TiN mask can decrease the risk of wiggling, and that surface roughness is influenced by p-SiOCH etch selectivity, indicating mask surface roughness should also be considered when evaluating line wiggling in BEOL, p-SiOCH etching.
研究了TiN硬掩膜的本征应力和等离子体诱导应力对线摆动的影响,并用28nm间距、线和空间(L/S) EUV抗蚀剂蚀刻p-SiOCH。实验堆叠包括晶体PVD TiN,其本征应力为+0.1 GPa和几种PEALD TiN薄膜,其结晶度和本征应力范围从-3.6 GPa(压缩)到+0.2 GPa(拉伸)不等。结果证实,当掩膜在工艺流程中不暴露于等离子体中时,降低TiN内禀应力可以防止摆动1。然而,当TiN在典型的后端线(BEOL)工艺2-3中暴露于等离子体中时,所有薄膜中的压应力都增加了,甚至在具有低本征应力的PVD TiN样品中也会导致摆动。由于等离子体暴露导致的压缩应力的整体增加与内在应力值无关,因此,这项工作表明,在选择TiN薄膜时,应该更加关注等离子体诱导的应力,以避免线摆动。进一步的研究发现,增加TiN掩膜的表面粗糙度可以降低摆动的风险,并且表面粗糙度受p-SiOCH蚀刻选择性的影响,这表明在评估BEOL, p-SiOCH蚀刻中的线摆动时也应考虑掩膜表面粗糙度。
{"title":"Evaluation of TiN hardmask films to prevent line wiggling due to plasma-induced film stress","authors":"A. Turnquist, N. Kofuji, J. Sebastian, Z. Liu, H. Kou, H. Fukuda, Y. Tomczak, Y. Sun, D. Piumi, D. D. Roest","doi":"10.1117/12.2661307","DOIUrl":"https://doi.org/10.1117/12.2661307","url":null,"abstract":"The impact of both intrinsic and plasma-induced stress of a TiN hardmask on line wiggling was investigated via etching of p-SiOCH with 28 nm pitch, line and space (L/S) EUV resist patterning. Experimental stacks included crystalline PVD TiN with an intrinsic stress of +0.1 GPa and several PEALD TiN films with varying crystallinity and intrinsic stresses ranging from -3.6 GPa (compressive) to +0.2 GPa (tensile). Results confirmed that reduction of intrinsic TiN stress can prevent wiggling1 when the mask is not exposed to plasma during process flow. However, when TiN is exposed to plasma as in a typical back end of line (BEOL) process2-3, compressive stress increased in all films and resulted in wiggling even in the patterned PVD TiN sample with low intrinsic stress. This global increase in compressive stress due to plasma exposure did not correlate with intrinsic stress values, therefore, this work suggests a greater focus should be placed on plasma-induced stress to avoid line wiggling when selecting a TiN film. Further investigation found that increased surface roughness of the TiN mask can decrease the risk of wiggling, and that surface roughness is influenced by p-SiOCH etch selectivity, indicating mask surface roughness should also be considered when evaluating line wiggling in BEOL, p-SiOCH etching.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131688292","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Efficient exposure of non-Manhattan layouts using Vistec’s shaped beam systems 使用Vistec的异形梁系统有效地暴露非曼哈顿布局
Pub Date : 2023-05-01 DOI: 10.1117/12.2656928
E. Linn, S. Fasold, R. Galler, S. Kuefner, I. Stolberg, M. Suelzle, U. Weidenmueller
In emerging optical applications, curvilinear features increase lithography complexity, which leads to long e-beam write times. Multi beam mask writers offer a solution for high-end masks where curvilinear features are predominant. For mid-range masks and emerging optical applications especially variable shaped beam systems still offer advantages. The challenge for optical applications is to expose large-scale non-Manhattan layouts such as slanted gratings, circle arrays, or curved geometrical structures with high fidelity in an efficient way. For arbitrary curved structures, including 3D, a novel shot count optimized and edge roughness aware approximation (JES-approximation) approach by ePLACE data preparation software package (Vistec Electron Beam GmbH, Germany) is applied. In contrast to common fracturing, both throughput and pattern fidelity in optical applications are highly increased. The optical quality by means of high sensitive haze measurements of diffractive axicons is proven. For repetitive structures such as slanted gratings or circle arrays the cell projection (CP) option is the solution of choice, but CP is also effective for arbitrarily curved gratings. Key enabler is the data preparation software ePLACE where cell dose values are calculated to meet the target layout contours precisely. Both JES-approximation as well as cell projection with target contour calculation are powerful tools for an efficient data preparation and final exposure of non-Manhattan layouts.
在新兴的光学应用中,曲线特性增加了光刻的复杂性,导致电子束写入时间长。多波束掩模编写者为曲线特征占主导地位的高端掩模提供了解决方案。对于中档掩模和新兴光学应用,特别是可变形状光束系统仍然具有优势。光学应用面临的挑战是如何以高效的方式暴露大规模的非曼哈顿布局,如倾斜光栅、圆形阵列或高保真的弯曲几何结构。对于任意弯曲结构,包括3D,采用了ePLACE数据准备软件包(Vistec Electron Beam GmbH, Germany)的一种新的射击计数优化和边缘粗糙度感知近似(je -近似)方法。与普通压裂相比,光学应用中的通量和模式保真度都大大提高。通过对衍射轴的高灵敏度雾度测量,证明了衍射轴的光学质量。对于重复结构,如倾斜光栅或圆形阵列,单元投影(CP)选项是首选的解决方案,但CP也适用于任意弯曲的光栅。关键使能器是数据准备软件ePLACE,其中计算细胞剂量值以精确地满足目标布局轮廓。jes逼近和带目标轮廓计算的单元投影都是有效的数据准备和非曼哈顿布局最终曝光的强大工具。
{"title":"Efficient exposure of non-Manhattan layouts using Vistec’s shaped beam systems","authors":"E. Linn, S. Fasold, R. Galler, S. Kuefner, I. Stolberg, M. Suelzle, U. Weidenmueller","doi":"10.1117/12.2656928","DOIUrl":"https://doi.org/10.1117/12.2656928","url":null,"abstract":"In emerging optical applications, curvilinear features increase lithography complexity, which leads to long e-beam write times. Multi beam mask writers offer a solution for high-end masks where curvilinear features are predominant. For mid-range masks and emerging optical applications especially variable shaped beam systems still offer advantages. The challenge for optical applications is to expose large-scale non-Manhattan layouts such as slanted gratings, circle arrays, or curved geometrical structures with high fidelity in an efficient way. For arbitrary curved structures, including 3D, a novel shot count optimized and edge roughness aware approximation (JES-approximation) approach by ePLACE data preparation software package (Vistec Electron Beam GmbH, Germany) is applied. In contrast to common fracturing, both throughput and pattern fidelity in optical applications are highly increased. The optical quality by means of high sensitive haze measurements of diffractive axicons is proven. For repetitive structures such as slanted gratings or circle arrays the cell projection (CP) option is the solution of choice, but CP is also effective for arbitrarily curved gratings. Key enabler is the data preparation software ePLACE where cell dose values are calculated to meet the target layout contours precisely. Both JES-approximation as well as cell projection with target contour calculation are powerful tools for an efficient data preparation and final exposure of non-Manhattan layouts.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124459241","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
E-beam direct write lithography: the versatile ally of optical lithography 电子束直写光刻:光学光刻的通用技术
Pub Date : 2023-05-01 DOI: 10.1117/12.2658273
F. Laulagnet, Jacques-Alexandre Dallery, L. Pain, M. May, Béatrice Hémard, Franck Garlet, I. Servin, C. Sabbione
Electron Beam Direct Write (EBDW or E-Beam) Lithography is a worldwide reference technology used in laboratories, universities and pilot line facilities for Research and Developments. Due to its low writing speed, E-Beam direct write has never been recognized as an acceptable industrial solution, exception made for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows low-cost patterning of advanced or innovative devices ahead of their high-volume manufacturing ramp-up. Thanks to its full versatility with almost all type of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. This paper demonstrates the compatibility of EBDW lithography with advanced Negative Tone Development (NTD) resist and the possibility to set-up an hybrid E-Beam/193i lithography process flow with high performances in terms of resolution and mix & match overlay. This high-end lithography strategy alliance offers flexibility and cost advantages for device development R&D but also powerful possibilities for specific applications such circuit encryption as discussed at the end of this work-study.
电子束直写(EBDW或电子束)光刻是世界范围内用于实验室,大学和试验线设施的研究和开发的参考技术。由于其低写入速度,E-Beam直接写入从未被认为是一种可接受的工业解决方案,光学掩模制造除外。尽管如此,其天然的高分辨率能力使得先进或创新设备的低成本模式在大批量生产之前得以实现。由于其具有几乎所有类型的化学放大抗蚀剂的通用性,EBDW是光学光刻的完美补充解决方案。本文演示了EBDW光刻与先进的负色调显影(NTD)抗蚀剂的兼容性,以及建立在分辨率和混合匹配覆盖方面具有高性能的电子束/193i混合光刻工艺流程的可能性。这种高端光刻战略联盟为设备开发研发提供了灵活性和成本优势,同时也为电路加密等特定应用提供了强大的可能性。
{"title":"E-beam direct write lithography: the versatile ally of optical lithography","authors":"F. Laulagnet, Jacques-Alexandre Dallery, L. Pain, M. May, Béatrice Hémard, Franck Garlet, I. Servin, C. Sabbione","doi":"10.1117/12.2658273","DOIUrl":"https://doi.org/10.1117/12.2658273","url":null,"abstract":"Electron Beam Direct Write (EBDW or E-Beam) Lithography is a worldwide reference technology used in laboratories, universities and pilot line facilities for Research and Developments. Due to its low writing speed, E-Beam direct write has never been recognized as an acceptable industrial solution, exception made for optical mask manufacturing. Nevertheless, its natural high-resolution capability allows low-cost patterning of advanced or innovative devices ahead of their high-volume manufacturing ramp-up. Thanks to its full versatility with almost all type of chemically amplified resists, EBDW is a perfect complementary solution to optical lithography. This paper demonstrates the compatibility of EBDW lithography with advanced Negative Tone Development (NTD) resist and the possibility to set-up an hybrid E-Beam/193i lithography process flow with high performances in terms of resolution and mix & match overlay. This high-end lithography strategy alliance offers flexibility and cost advantages for device development R&D but also powerful possibilities for specific applications such circuit encryption as discussed at the end of this work-study.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124942307","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design of experiment for optimal SiGe-Si selectivity 最佳SiGe-Si选择性实验设计
Pub Date : 2023-05-01 DOI: 10.1117/12.2656866
Zhengning Li, X. Ke, Jia Song, Fengmei Li, Shi-liang Ji, H. Zhang
Etching selectivity is the most critical factor in etch process, in which it can reduce non-etching materials loss while removing target materials. Silicon-germanium (SiGe) and silicon etch characteristic are similar, so the method to distinguish them during etching is a popular research topic. CF4-based mixed gases were applied for the SiGe to Silicon offline etch selectivity study. In terms of DoE (Design of Experiment) method, 5 process parameters, like CF4 gas, O2 gas, RF (resonant frequency) power and chamber pressure, were chosen to compose process conditions for SiGe and Si control wafer test. Based on uniform design, 15-run U15(55) design conditions were tested, and then subset selection algorithms was applied in R software to establish linear regression function for the process parameters. The correlation chart and heating map showed that dry plasma energy control was strongly with pressure and power simultaneous tuning direction and helium gas flow had little effect on SiGe-Si selectivity, which offered the tuning suggestion for SiGe-Si selectivity improvement.
蚀刻选择性是蚀刻过程中最关键的因素,它可以在去除目标材料的同时减少非蚀刻材料的损失。硅锗(SiGe)与硅的蚀刻特性相似,因此在蚀刻过程中如何区分它们是一个热门的研究课题。采用基于cf4的混合气体对SiGe对硅的离线蚀刻选择性进行了研究。采用DoE (Design of Experiment)方法,选取CF4气体、O2气体、RF(谐振频率)功率、腔室压力等5个工艺参数组成SiGe和Si控制晶片试验的工艺条件。在均匀设计的基础上,对15次运行的U15(55)设计条件进行测试,然后在R软件中应用子集选择算法建立工艺参数的线性回归函数。相关图和热图显示干等离子体能量控制与压力和功率同步调节方向强烈,氦气流量对SiGe-Si选择性影响不大,为提高SiGe-Si选择性提供了调节建议。
{"title":"Design of experiment for optimal SiGe-Si selectivity","authors":"Zhengning Li, X. Ke, Jia Song, Fengmei Li, Shi-liang Ji, H. Zhang","doi":"10.1117/12.2656866","DOIUrl":"https://doi.org/10.1117/12.2656866","url":null,"abstract":"Etching selectivity is the most critical factor in etch process, in which it can reduce non-etching materials loss while removing target materials. Silicon-germanium (SiGe) and silicon etch characteristic are similar, so the method to distinguish them during etching is a popular research topic. CF4-based mixed gases were applied for the SiGe to Silicon offline etch selectivity study. In terms of DoE (Design of Experiment) method, 5 process parameters, like CF4 gas, O2 gas, RF (resonant frequency) power and chamber pressure, were chosen to compose process conditions for SiGe and Si control wafer test. Based on uniform design, 15-run U15(55) design conditions were tested, and then subset selection algorithms was applied in R software to establish linear regression function for the process parameters. The correlation chart and heating map showed that dry plasma energy control was strongly with pressure and power simultaneous tuning direction and helium gas flow had little effect on SiGe-Si selectivity, which offered the tuning suggestion for SiGe-Si selectivity improvement.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127158822","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Opportunities and challenges of heterogeneous integration: from IC packaging POV 异构集成的机遇与挑战:来自IC封装POV
Pub Date : 2023-05-01 DOI: 10.1117/12.2659852
Jeffery Chiang, Paul Wu
The IC assembly industry has gone a full circle over the course of 50 years. At the beginning, everything was discretely packaged, but with the introduction of integrated circuits and advancement of lithograph y technologies, we were able to keep putting more into less., Yet the industry is facing challenges in continuing the scaling trend without sacrificing th e economic gain, therefore they turned to the heterogeneous integration, where the different IP blocks on the SOC were, once again, split into several discrete IC chips, thereby completing the circle. How do we enable these physically separated chips to have a performance that is on par with a single SOC? The answer is advanced packaging.
在过去的50年里,集成电路产业经历了一个完整的循环。一开始,所有东西都是分立封装的,但随着集成电路的引入和光刻技术的进步,我们能够不断地用更少的东西来投入更多的东西。然而,业界面临着在不牺牲经济收益的情况下继续扩展趋势的挑战,因此他们转向异构集成,即SOC上的不同IP块再次分裂成几个离散的IC芯片,从而完成循环。我们如何使这些物理上分离的芯片具有与单个SOC相当的性能?答案是先进的包装。
{"title":"Opportunities and challenges of heterogeneous integration: from IC packaging POV","authors":"Jeffery Chiang, Paul Wu","doi":"10.1117/12.2659852","DOIUrl":"https://doi.org/10.1117/12.2659852","url":null,"abstract":"The IC assembly industry has gone a full circle over the course of 50 years. At the beginning, everything was discretely packaged, but with the introduction of integrated circuits and advancement of lithograph y technologies, we were able to keep putting more into less., Yet the industry is facing challenges in continuing the scaling trend without sacrificing th e economic gain, therefore they turned to the heterogeneous integration, where the different IP blocks on the SOC were, once again, split into several discrete IC chips, thereby completing the circle. How do we enable these physically separated chips to have a performance that is on par with a single SOC? The answer is advanced packaging.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127181115","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Pushing deep greyscale lithography beyond 100-µm pattern depth with a novel photoresist 用一种新型光刻胶推动深度灰度光刻超过100微米的图案深度
Pub Date : 2023-05-01 DOI: 10.1117/12.2661526
C. Schuster, G. Ekindorf, A. Voigt, A. Schleunitz, G. Gruetzner
Greyscale lithography for the manufacture of complex 2.5D and freeform microstructures in photoresists receives increasing attention from industry for the fabrication of advanced micro-optical elements. The thus obtained structures serve as master or template for different methods of pattern transfer into materials for final, permanent applications, such as refractive and diffractive lenses, blazed gratings, beam-shapers etc. However, many such applications require large structure heights beyond 100 μm which was not easily accessible until now. We present a novel photoresist, mr-P 22G_XP, enabling greyscale lithography of very deep patterns. Issues limiting the pattern depth caused by the photoresist chemistry were addressed. Greyscale pattern depths of 120 μm were possible with an easily accessible set-up with this prototype, with a well-considered choice of photoresist ingredients, and lithography process adjustments focusing on laser direct writing, with the prospect of even deeper patterns up to 140–150 μm.
灰阶光刻技术用于制造复杂的2.5D和自由形状光刻胶微结构,越来越受到业界的关注,用于制造先进的微光学元件。由此获得的结构可作为将图案转移到最终、永久应用的材料中的不同方法的主模板或模板,例如折射透镜和衍射透镜、燃烧光栅、光束成形器等。然而,许多此类应用需要超过100 μm的大型结构高度,这在目前还不容易实现。我们提出了一种新的光刻胶,mr-P 22G_XP,使灰度光刻非常深的图案。解决了由光刻胶化学引起的限制图案深度的问题。该原型机的灰度图案深度可达120 μm,通过精心选择光刻胶成分,光刻工艺调整侧重于激光直写,可以实现更深的图案深度达140-150 μm。
{"title":"Pushing deep greyscale lithography beyond 100-µm pattern depth with a novel photoresist","authors":"C. Schuster, G. Ekindorf, A. Voigt, A. Schleunitz, G. Gruetzner","doi":"10.1117/12.2661526","DOIUrl":"https://doi.org/10.1117/12.2661526","url":null,"abstract":"Greyscale lithography for the manufacture of complex 2.5D and freeform microstructures in photoresists receives increasing attention from industry for the fabrication of advanced micro-optical elements. The thus obtained structures serve as master or template for different methods of pattern transfer into materials for final, permanent applications, such as refractive and diffractive lenses, blazed gratings, beam-shapers etc. However, many such applications require large structure heights beyond 100 μm which was not easily accessible until now. We present a novel photoresist, mr-P 22G_XP, enabling greyscale lithography of very deep patterns. Issues limiting the pattern depth caused by the photoresist chemistry were addressed. Greyscale pattern depths of 120 μm were possible with an easily accessible set-up with this prototype, with a well-considered choice of photoresist ingredients, and lithography process adjustments focusing on laser direct writing, with the prospect of even deeper patterns up to 140–150 μm.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126082966","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Ultra-high carbon fullerene-based spin-on-carbon hardmasks 超高碳富勒烯基自旋碳硬掩膜
Pub Date : 2023-05-01 DOI: 10.1117/12.2658462
A. Brown, G. Dawson, E. Jackson, B. Schofield, T. Lada, A. Robinson
Fullerene-based spin-on-carbon enables very high carbon content, and shows very high thermal stability, and etch resistance approaching amorphous carbon. Here we describe the performance of the HM1300 fullerene SOC, including results using high temperature inert atmosphere curing. Ohnishi numbers below 1.44 are achieved (measured by elemental analysis) and etch performance improved over the standard bake. A new high carbon crosslinker, designed to link directly to the fullerene cage, and with a carbon content comparable to the fullerene (significantly higher than the previous crosslinker) is also introduced. The new crosslinker is designed to enhance both etch and thermal stability performance.
富勒烯基的碳自旋使得碳含量非常高,并且显示出非常高的热稳定性和接近非晶碳的耐蚀刻性。本文介绍了HM1300富勒烯SOC的性能,包括高温惰性气氛固化的结果。实现了低于1.44的Ohnishi数字(通过元素分析测量),并且蚀刻性能优于标准烘烤。还介绍了一种新的高碳交联剂,设计用于直接连接富勒烯笼,其碳含量与富勒烯相当(明显高于以前的交联剂)。新的交联剂旨在提高蚀刻和热稳定性性能。
{"title":"Ultra-high carbon fullerene-based spin-on-carbon hardmasks","authors":"A. Brown, G. Dawson, E. Jackson, B. Schofield, T. Lada, A. Robinson","doi":"10.1117/12.2658462","DOIUrl":"https://doi.org/10.1117/12.2658462","url":null,"abstract":"Fullerene-based spin-on-carbon enables very high carbon content, and shows very high thermal stability, and etch resistance approaching amorphous carbon. Here we describe the performance of the HM1300 fullerene SOC, including results using high temperature inert atmosphere curing. Ohnishi numbers below 1.44 are achieved (measured by elemental analysis) and etch performance improved over the standard bake. A new high carbon crosslinker, designed to link directly to the fullerene cage, and with a carbon content comparable to the fullerene (significantly higher than the previous crosslinker) is also introduced. The new crosslinker is designed to enhance both etch and thermal stability performance.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114454046","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Cross-functional photoresists and photopolymers enhancing micro- and nanofabrication 跨功能光阻剂和光聚合物增强微纳米加工
Pub Date : 2023-05-01 DOI: 10.1117/12.2661531
A. Schleunitz, C. Schuster, A. Voigt, M. Russew, M. Lohse, M. Heinrich, G. Grützner
The ongoing advancement of lithographic manufacturing in micro- and nanopatterning rely on the commercial availability of innovative photoresists, polymers and photopolymers as well as complementary process chemicals: This allows to enhance current micro- and nanofabrication technologies by increasing the overall pattern complexity or general process simplicity. In this contribution, we demonstrate that material innovations have a significant part in enhancing micro- and nanofabrication by outperforming generic photoresists through cross-functionality as it is increasingly required in ever growing pattern complexity (e.g. advanced mix-and-match methods) or when additional material features are set by the final application.
微纳米图案的光刻制造的持续进步依赖于创新的光刻胶、聚合物和光聚合物以及互补的工艺化学品的商业可用性:这可以通过增加整体图案的复杂性或一般工艺的简单性来增强当前的微纳米制造技术。在这一贡献中,我们证明了材料创新在增强微纳米制造方面具有重要作用,通过交叉功能优于通用光阻剂,因为它在不断增长的模式复杂性(例如先进的混合匹配方法)或最终应用中设置额外的材料特征时越来越需要。
{"title":"Cross-functional photoresists and photopolymers enhancing micro- and nanofabrication","authors":"A. Schleunitz, C. Schuster, A. Voigt, M. Russew, M. Lohse, M. Heinrich, G. Grützner","doi":"10.1117/12.2661531","DOIUrl":"https://doi.org/10.1117/12.2661531","url":null,"abstract":"The ongoing advancement of lithographic manufacturing in micro- and nanopatterning rely on the commercial availability of innovative photoresists, polymers and photopolymers as well as complementary process chemicals: This allows to enhance current micro- and nanofabrication technologies by increasing the overall pattern complexity or general process simplicity. In this contribution, we demonstrate that material innovations have a significant part in enhancing micro- and nanofabrication by outperforming generic photoresists through cross-functionality as it is increasingly required in ever growing pattern complexity (e.g. advanced mix-and-match methods) or when additional material features are set by the final application.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131422824","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1