首页 > 最新文献

Advanced Lithography最新文献

英文 中文
Variability study of MP18 semi-damascene interconnects with fully self-aligned vias 具有完全自对准过孔的MP18半大马士革互连的变异性研究
Pub Date : 2023-05-01 DOI: 10.1117/12.2658325
A. Soussou, G. Marti, Z. Tokei, S. Park, G. Jurczak, B. Vincent
In this work, process modeling was coupled with actual Si data to perform process optimization and control of an 18nm metal pitch (MP18) semi-damascene flow with fully self-aligned vias (FSAV). We explored the impact of process variations and patterning sensitivities on line and via resistances as well as on line capacitance variability. We also benchmarked capacitance variability using partial-airgap and gap fill options. From this study, we have identified significant process parameters and corresponding process windows that need to be controlled to ensure successful manufacturability of the MP18 semi-damascene flow.
在这项工作中,将工艺建模与实际Si数据相结合,对18nm金属间距(MP18)带完全自对准通孔(FSAV)的半阻尼流进行工艺优化和控制。我们探索了工艺变化和模式灵敏度对在线和通过电阻以及在线电容变化的影响。我们还使用部分气隙和气隙填充选项对电容可变性进行基准测试。通过这项研究,我们确定了需要控制的重要工艺参数和相应的工艺窗口,以确保MP18半大马士革流的成功可制造性。
{"title":"Variability study of MP18 semi-damascene interconnects with fully self-aligned vias","authors":"A. Soussou, G. Marti, Z. Tokei, S. Park, G. Jurczak, B. Vincent","doi":"10.1117/12.2658325","DOIUrl":"https://doi.org/10.1117/12.2658325","url":null,"abstract":"In this work, process modeling was coupled with actual Si data to perform process optimization and control of an 18nm metal pitch (MP18) semi-damascene flow with fully self-aligned vias (FSAV). We explored the impact of process variations and patterning sensitivities on line and via resistances as well as on line capacitance variability. We also benchmarked capacitance variability using partial-airgap and gap fill options. From this study, we have identified significant process parameters and corresponding process windows that need to be controlled to ensure successful manufacturability of the MP18 semi-damascene flow.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132086336","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The novel materials for pattern growing on EUV resists 在极紫外光刻膜上生长图案的新型材料
Pub Date : 2023-05-01 DOI: 10.1117/12.2657969
H. Yanagita, Kazuma Yamamoto
Extreme ultraviolet lithography (EUVL) technology is one of the promising high volume manufacturing processes for devices below 7nm. However, the technology still has several issues for HVM. Especially, RLS (Resolution, LWR, and sensitivity) trade-off remains as one of the obvious problems for resist patterning. In which, resist resolution is one of the challenges to make fine pattern. For fine patterning, High NA EUV is predicted as one of candidate for enabling the future generation of device manufacturing. In this situation, investigation of chemically amplified resist (CAR) is being intensively conducted as one of candidate material for high-NA EUVL. However, CAR has one of key challenge for mask transfer because it is expected that thin resist film thickness is applied to exhibit good lithographic performance. As one of the solutions for this issue, we focused on the novel material which selectively makes a growth of the pattern.
极紫外光刻(EUVL)技术是7nm以下器件的有前途的大批量制造工艺之一。然而,HVM技术仍然存在一些问题。特别是,分辨率、LWR和灵敏度之间的权衡仍然是抵抗模式的一个明显问题。其中,抗分辨率是制作精细图案的挑战之一。对于精细图形,高NA EUV被预测为实现下一代器件制造的候选之一。在这种情况下,化学扩增抗蚀剂(CAR)作为高na EUVL的候选材料之一正受到广泛的研究。然而,CAR对掩模转移有一个关键的挑战,因为期望应用薄的抗蚀膜厚度来表现出良好的光刻性能。作为这个问题的解决方案之一,我们专注于有选择地使图案生长的新型材料。
{"title":"The novel materials for pattern growing on EUV resists","authors":"H. Yanagita, Kazuma Yamamoto","doi":"10.1117/12.2657969","DOIUrl":"https://doi.org/10.1117/12.2657969","url":null,"abstract":"Extreme ultraviolet lithography (EUVL) technology is one of the promising high volume manufacturing processes for devices below 7nm. However, the technology still has several issues for HVM. Especially, RLS (Resolution, LWR, and sensitivity) trade-off remains as one of the obvious problems for resist patterning. In which, resist resolution is one of the challenges to make fine pattern. For fine patterning, High NA EUV is predicted as one of candidate for enabling the future generation of device manufacturing. In this situation, investigation of chemically amplified resist (CAR) is being intensively conducted as one of candidate material for high-NA EUVL. However, CAR has one of key challenge for mask transfer because it is expected that thin resist film thickness is applied to exhibit good lithographic performance. As one of the solutions for this issue, we focused on the novel material which selectively makes a growth of the pattern.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121586490","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Application of double exposure technique in plasmonic lithography 双曝光技术在等离子体光刻中的应用
Pub Date : 2023-05-01 DOI: 10.1117/12.2657812
Huwen Ding, Lihong Liu, Lisong Dong, Zhishu Chen, Yayi Wei
As the critical dimension (CD) of integrated circuits (ICs) becomes smaller and smaller, traditional optical lithography has a resolution limit due to the light diffraction effect, and surface plasmon lithography (SPL) beyond the diffraction limit developed in recent years is considered to be an alternative method to break through the diffraction limit. However, the fact that transverse magnetic (TM) waves are required to excite surface plasmon polaritons (SPP or SPPs) at the interface between a metal and a dielectric limits the application of surface plasmon lithography in imaging of the two-dimensional patterns in arbitrary shapes into photoresist. As an effective means of expanding existing lithography technology, double exposure (DE) is expected to be an effective means to solve this problem. Taking the advantage of imaging principle of DE, this paper proposes for the first time to apply DE to SPL to improve the imaging quality of SPL and theoretically analyzes the effect of DE on the improvement of SPL patterning in two dimensions. Simulation is performed in commercial software of Comsol Multiphysics 6.0. The simulation result verifies and proves that, in two-dimensional patterning, DE provides better imaging quality than single exposure.
随着集成电路(ic)的临界尺寸(CD)越来越小,传统的光学光刻由于光衍射效应存在分辨率极限,而近年来发展起来的超越衍射极限的表面等离子体光刻(SPL)被认为是突破衍射极限的一种替代方法。然而,在金属和介电介质之间的界面上激发表面等离子体激元(SPP或SPP)需要横向磁波,这一事实限制了表面等离子体光刻技术在将任意形状的二维图案成像成光刻胶中的应用。双曝光技术作为一种扩展现有光刻技术的有效手段,有望成为解决这一问题的有效手段。利用DE成像原理,首次提出将DE应用于SPL以提高SPL成像质量,并从二维角度理论上分析了DE对改善SPL成像效果的影响。在Comsol Multiphysics 6.0商用软件中进行仿真。仿真结果验证并证明,在二维成像中,DE比单曝光成像质量更好。
{"title":"Application of double exposure technique in plasmonic lithography","authors":"Huwen Ding, Lihong Liu, Lisong Dong, Zhishu Chen, Yayi Wei","doi":"10.1117/12.2657812","DOIUrl":"https://doi.org/10.1117/12.2657812","url":null,"abstract":"As the critical dimension (CD) of integrated circuits (ICs) becomes smaller and smaller, traditional optical lithography has a resolution limit due to the light diffraction effect, and surface plasmon lithography (SPL) beyond the diffraction limit developed in recent years is considered to be an alternative method to break through the diffraction limit. However, the fact that transverse magnetic (TM) waves are required to excite surface plasmon polaritons (SPP or SPPs) at the interface between a metal and a dielectric limits the application of surface plasmon lithography in imaging of the two-dimensional patterns in arbitrary shapes into photoresist. As an effective means of expanding existing lithography technology, double exposure (DE) is expected to be an effective means to solve this problem. Taking the advantage of imaging principle of DE, this paper proposes for the first time to apply DE to SPL to improve the imaging quality of SPL and theoretically analyzes the effect of DE on the improvement of SPL patterning in two dimensions. Simulation is performed in commercial software of Comsol Multiphysics 6.0. The simulation result verifies and proves that, in two-dimensional patterning, DE provides better imaging quality than single exposure.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114377058","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Negative-tone resists for EUV lithography EUV光刻用负色调抗蚀剂
Pub Date : 2023-05-01 DOI: 10.1117/12.2659716
Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao
The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.
极紫外光刻技术(EUVL)的采用使电路尺寸低于20nm的半导体芯片的制造成为可能。目前EUVL中使用的光刻胶是基于三十年前最初引入的聚合物化学放大光刻胶系统的扩展。虽然自深紫外时代以来一直是该行业的主力,但其局限性也开始显现。随着对线边缘粗糙度(LER)的要求接近单纳米,分辨率降至15nm以下,聚合物体系固有的大规模和非均匀性带来了巨大的技术挑战。为了进一步扩大规模,需要光刻胶的构建块的小型化。同时,由于极紫外光源功率的限制,光刻工艺对高通量的要求也要求更快的光刻速度。在本研究中,开发了一种分子抗蚀剂平台,其优越的剂量比尺寸远低于50mJ/cm2。抗蚀剂形成负色调图像,有利于利用暗场掩模印刷柱子和隔离线。在间距小于38nm的六角形阵列中,柱的局部CD均匀性(LCDU)小于3nm。由于其负色调的性质,抗蚀膜的顶部损失是最小的,这导致更高的剩余膜,以维持随后的蚀刻过程。分子芯的坚固设计增强了抗蚀膜的耐蚀性。用一个简单的堆栈演示了图案转移到超过15nm的硅层上。新的抗蚀剂提供了一个更直接的解决方案来打印柱子和类似的功能,没有音调反转过程。负色调抗蚀剂可以与DSA技术相结合,以显着提高拥有成本。该工艺成功地实现了接触孔和线/空间图案,大大提高了图案质量。在六角形阵列中,以34nm间距的接触孔图案获得了1.4nm的LCDU。它可以被认为是一种替代EUV双图案或高na EUV工艺。
{"title":"Negative-tone resists for EUV lithography","authors":"Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao","doi":"10.1117/12.2659716","DOIUrl":"https://doi.org/10.1117/12.2659716","url":null,"abstract":"The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124948027","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Dependence of swelling and dissolution kinetics of poly(4-hydroxystyrene) in alkaline aqueous solution on alkyl chain length of tetraalkylammonium hydroxide 聚(4-羟基苯乙烯)在碱性水溶液中的溶胀溶解动力学与四烷基氢氧化铵烷基链长度的关系
Pub Date : 2023-05-01 DOI: 10.1117/12.2670175
Hitomi Betsumiya, Yuko Tsutsui Ito, T. Kozawa, K. Sakamoto, M. Muramatsu
Recently chemically amplified resists are approaching their performance limits due to the fixed development process. In this study, the dissolution, swelling, and impedance change of resist polymers were measured by a development analyzer with a quartz crystal microbalance method. The resist polymer was poly(4-hydroxystyrene) (PHS), the hydroxyl groups of which were partially protected with t-butoxycarbonyl groups. The alkyl chain lengths of tetraalkylammonium hydroxide were varying from methyl to pentyl groups. When the alkyl chain length of TAAH increased from two to three, the dissolution mode markedly changed.
最近,由于固定的显影过程,化学放大抗蚀剂正接近其性能极限。本研究采用石英晶体微天平法,用显影分析仪测量了抗蚀剂聚合物的溶解、溶胀和阻抗变化。该抗蚀剂为聚(4-羟基苯乙烯)(PHS),其羟基被t-丁氧羰基部分保护。四烷基氢氧化铵的烷基链长度从甲基到戊基不等。当TAAH的烷基链长度从2链增加到3链时,溶解方式发生明显变化。
{"title":"Dependence of swelling and dissolution kinetics of poly(4-hydroxystyrene) in alkaline aqueous solution on alkyl chain length of tetraalkylammonium hydroxide","authors":"Hitomi Betsumiya, Yuko Tsutsui Ito, T. Kozawa, K. Sakamoto, M. Muramatsu","doi":"10.1117/12.2670175","DOIUrl":"https://doi.org/10.1117/12.2670175","url":null,"abstract":"Recently chemically amplified resists are approaching their performance limits due to the fixed development process. In this study, the dissolution, swelling, and impedance change of resist polymers were measured by a development analyzer with a quartz crystal microbalance method. The resist polymer was poly(4-hydroxystyrene) (PHS), the hydroxyl groups of which were partially protected with t-butoxycarbonyl groups. The alkyl chain lengths of tetraalkylammonium hydroxide were varying from methyl to pentyl groups. When the alkyl chain length of TAAH increased from two to three, the dissolution mode markedly changed.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124994766","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
The potential of e-beam lithography for micro- and nano-optics on large areas 电子束光刻在大面积微光学和纳米光学上的潜力
Pub Date : 2023-05-01 DOI: 10.1117/12.2658440
U. Zeitner, M. Banasch, M. Trost
The availability of high-resolution and high throughput lithographic fabrication technologies such as electron-beam lithography based on Variable Shaped Beam writing and Character Projection opens the way for the flexible use of various optical nano-structures for some of the most demanding applications. The paper discusses the technical features, advantages, and limitations of these pattering approaches and will show how they can favorably be combined to realize optical nano-structures for applications, which are as diverse as gratings for ultra-short laser pulses or high resolution spectrometers, computer generated holograms for asphere testing, various optical meta-structures (lenses, gratings), or UV-polarizers.
高分辨率和高通量光刻制造技术的可用性,如基于可变形状光束书写和字符投影的电子束光刻,为灵活使用各种光学纳米结构开辟了道路,以满足一些最苛刻的应用。本文讨论了这些图图化方法的技术特点、优点和局限性,并将展示如何将它们很好地结合起来实现光学纳米结构的应用,这些应用包括超短激光脉冲光栅或高分辨率光谱仪、用于非球面测试的计算机生成全息图、各种光学元结构(透镜、光栅)或紫外线偏振器。
{"title":"The potential of e-beam lithography for micro- and nano-optics on large areas","authors":"U. Zeitner, M. Banasch, M. Trost","doi":"10.1117/12.2658440","DOIUrl":"https://doi.org/10.1117/12.2658440","url":null,"abstract":"The availability of high-resolution and high throughput lithographic fabrication technologies such as electron-beam lithography based on Variable Shaped Beam writing and Character Projection opens the way for the flexible use of various optical nano-structures for some of the most demanding applications. The paper discusses the technical features, advantages, and limitations of these pattering approaches and will show how they can favorably be combined to realize optical nano-structures for applications, which are as diverse as gratings for ultra-short laser pulses or high resolution spectrometers, computer generated holograms for asphere testing, various optical meta-structures (lenses, gratings), or UV-polarizers.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129043597","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Advances and applications in nanoimprint lithography 纳米压印技术的进展与应用
Pub Date : 2023-05-01 DOI: 10.1117/12.2658127
N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organizati
压印光刻是一种有效且众所周知的纳米级特征复制技术。纳米压印(NIL)制造设备采用了一种图案化技术,该技术包括逐场沉积和通过喷射技术将低粘度抗蚀剂沉积到基板上。有图案的口罩被放入液体中,然后通过毛细管作用迅速流入口罩中的浮雕图案。在这个填充步骤之后,抗蚀剂在紫外线辐射下交联,然后去除掩模,在基材上留下图案抗蚀剂。与光刻设备相比,该技术以更高的分辨率和更大的均匀性忠实地再现图案。此外,由于该技术不需要宽直径透镜阵列和先进光刻设备所需的昂贵光源,因此NIL设备实现了更简单,更紧凑的设计,允许多个单元聚集在一起以提高生产率。先前的研究表明,NIL分辨率优于10nm,这使得该技术适用于用单个掩模打印几代关键记忆级。此外,仅在必要时应用抗蚀剂,从而消除了材料浪费。考虑到压印系统中没有复杂的光学器件,当与简单的单级处理和零浪费相结合时,工具成本的降低导致了对半导体存储器应用非常有吸引力的成本模型。内存制造具有挑战性,尤其是DRAM,因为DRAM的路线图要求持续扩展,最终达到14nm及以上的半间距。对于DRAM,一些关键层的覆盖比NAND闪存紧密得多,误差预算为最小半间距的15-20%。对于14nm,这意味着2.1-2.8nm。DRAM器件设计也具有挑战性,并且布局并不总是有利于SADP和SAQP等间距划分方法。这使得直接印刷工艺,如NIL有吸引力的解决方案。从缺陷的角度来看,逻辑更具挑战性,通常需要比包含冗余的存储设备低得多的缺陷级别。在本文中,我们触及了可以用零净值来解决的市场,并描述了进一步提高零净值性能的努力。我们特别关注与覆盖、边缘放置误差和缺陷相关的性能改进。对于覆盖层,我们给出了稳定性的结果,并讨论了进一步解决高阶失真的新方法。对于边缘放置错误(EPE),我们讨论了在解决内存设备的EPE预算方面取得的进展。对于缺陷,我们回顾了随机缺陷生成、粒子加法器和掩模检测方法。NIL可用性案例也进行了检查。此外,我们还讨论了佳能最近参与的新能源和工业技术发展组织(NEDO)项目及其与逻辑器件相关的目标。作为最后一个主题,我们描述了佳能在传统先进半导体器件以外的制造领域的兴趣。
{"title":"Advances and applications in nanoimprint lithography","authors":"N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi","doi":"10.1117/12.2658127","DOIUrl":"https://doi.org/10.1117/12.2658127","url":null,"abstract":"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organizati","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130160730","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Micro-nanostructuring of ZrO2 sol-gel by optical and nanoimprint lithography on various substrate for optical applications 利用光学和纳米压印技术在各种光学基板上制备ZrO2溶胶-凝胶的微纳米结构
Pub Date : 2023-05-01 DOI: 10.1117/12.2657886
V. Vallejo-Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, N. Ollier, A. Valour, M. Traynar, Y. Jourlin
Zirconium oxide (ZrO2) is an intensively studied and used material due to its many remarkable physical and chemical properties. The high performances of ZrO2 allows its use in many applications such as coatings against corrosion, wear and oxidation, optical applications, anti-counterfeiting, or in medical applications such as dental or prosthesis. There are many methods to synthesize ZrO2 among which we can mention reactive sputtering, chemical vapor deposition, atomic layer deposition. These techniques are well known in thin film deposition processes. However, they do not allow to easily structure the coatings to produce complex patterns (shapes, micro-nanostructures) on variable substrates in shape and size. Another process of elaboration of ZrO2 thin films is the sol-gel method. This technique makes possible the micro-nanostructuring of the films by optical and nanoimprint-based lithography. In this paper, the authors will describe how the ZrO2 sol-gel can be used to obtain both complex patterns (shapes, micro-nanostructures) by optical lithography (mask lithography, colloidal lithography) and by nanoimprint lithography. The authors will also show the possibility to use this versatile sol-gel and the associated structuring methods to structure complex patterns on variable substrates in their nature and geometry, as well as the possibility of using this process in optical applications. Preliminary results will be presented through several microstructured ZrO2 demonstrators obtained from the microstructuring process on sol-gel layers. The produced layers have been characterized by Raman spectroscopy, scanning electron microscopy, atomic force microscopy. The optical properties (transmittance, reflectance) have also been investigated and a study of the influence of a thermal treatment on the refractive index and thickness of the layer has been carried out.
氧化锆(ZrO2)是一种被广泛研究和应用的材料,因为它具有许多显著的物理和化学性质。ZrO2的高性能使其能够用于许多应用,例如抗腐蚀,磨损和氧化的涂层,光学应用,防伪或牙科或假体等医疗应用。合成ZrO2的方法有很多,其中有反应溅射、化学气相沉积、原子层沉积等。这些技术在薄膜沉积工艺中是众所周知的。然而,它们不允许在形状和尺寸可变的基底上容易地构造涂层以产生复杂的图案(形状,微纳米结构)。另一种制备ZrO2薄膜的方法是溶胶-凝胶法。该技术使光学和纳米压印光刻技术实现薄膜的微纳米结构成为可能。在本文中,作者将描述如何使用ZrO2溶胶凝胶通过光学光刻(掩模光刻,胶体光刻)和纳米压印光刻获得复杂的图案(形状,微纳米结构)。作者还将展示使用这种多功能溶胶-凝胶和相关的结构方法在不同性质和几何形状的衬底上构建复杂图案的可能性,以及在光学应用中使用该工艺的可能性。初步结果将通过在溶胶-凝胶层上的微结构过程中获得的几个微结构ZrO2演示体来展示。用拉曼光谱、扫描电子显微镜、原子力显微镜对所制层进行了表征。光学性质(透射率、反射率)也进行了研究,并研究了热处理对层的折射率和厚度的影响。
{"title":"Micro-nanostructuring of ZrO2 sol-gel by optical and nanoimprint lithography on various substrate for optical applications","authors":"V. Vallejo-Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, N. Ollier, A. Valour, M. Traynar, Y. Jourlin","doi":"10.1117/12.2657886","DOIUrl":"https://doi.org/10.1117/12.2657886","url":null,"abstract":"Zirconium oxide (ZrO2) is an intensively studied and used material due to its many remarkable physical and chemical properties. The high performances of ZrO2 allows its use in many applications such as coatings against corrosion, wear and oxidation, optical applications, anti-counterfeiting, or in medical applications such as dental or prosthesis. There are many methods to synthesize ZrO2 among which we can mention reactive sputtering, chemical vapor deposition, atomic layer deposition. These techniques are well known in thin film deposition processes. However, they do not allow to easily structure the coatings to produce complex patterns (shapes, micro-nanostructures) on variable substrates in shape and size. Another process of elaboration of ZrO2 thin films is the sol-gel method. This technique makes possible the micro-nanostructuring of the films by optical and nanoimprint-based lithography. In this paper, the authors will describe how the ZrO2 sol-gel can be used to obtain both complex patterns (shapes, micro-nanostructures) by optical lithography (mask lithography, colloidal lithography) and by nanoimprint lithography. The authors will also show the possibility to use this versatile sol-gel and the associated structuring methods to structure complex patterns on variable substrates in their nature and geometry, as well as the possibility of using this process in optical applications. Preliminary results will be presented through several microstructured ZrO2 demonstrators obtained from the microstructuring process on sol-gel layers. The produced layers have been characterized by Raman spectroscopy, scanning electron microscopy, atomic force microscopy. The optical properties (transmittance, reflectance) have also been investigated and a study of the influence of a thermal treatment on the refractive index and thickness of the layer has been carried out.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116864115","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Use of highly EUV absorbing element in chemically amplified resist 在化学放大抗蚀剂中使用高EUV吸收元件
Pub Date : 2023-05-01 DOI: 10.1117/12.2657982
Yejin Ku, Han Bit Park, Gayoung Kim, Jin-Kyun Lee, Jong-Won Lee, Byeong-Gyu Park, Sangsul Lee
We propose a method for easily determining the extent of solubility change of chemically amplified resist (CAR) films with different types of extreme UV (EUV)-absorbing elements. The concentration of acid molecules depends on the production yield of secondary electrons, and it affects the deprotection reaction rate in the resist and ultimately the residual resist film thickness. Materials capable of increasing EUV absorption were prepared by incorporating tin, iodine, or hydrogen in a model fluoroalkylated copolymer. A CAR film containing a proton exchange component was spin-coated on a substrate, and a thin film composed of one of the fluoroalkylated copolymers containing elements and a photoacid generator was cast thereon. Under EUV irradiation, the iodine containing copolymer increased the decomposition rate of the CAR film, unlike the case of the tin- or hydrogen containing copolymer. For practical use, we prepared an iodinated sensitizer based on a CT contrast agent, iohexol, for utilizing it as an EUV sensitizer in an EUV lithography (EUVL) experiment, and it was found that the iohexol-based iodinated sensitizer could simultaneously act as a sensitizer and a base quencher. These results show that iodinated compounds can help enhance the patterning performance of CARs in EUVL.
我们提出了一种测定不同类型极紫外吸收元素的化学放大抗蚀剂(CAR)薄膜溶解度变化的简便方法。酸分子的浓度取决于二次电子的产率,它影响抗蚀剂中的脱保护反应速率,最终影响残余抗蚀剂膜厚度。通过在模型氟烷基化共聚物中加入锡、碘或氢,制备了能够增加EUV吸收的材料。将含有质子交换组分的CAR薄膜自旋涂覆在衬底上,并在其上浇铸由含元素的氟烷基化共聚物之一和光酸发生器组成的薄膜。在极紫外光照射下,含碘共聚物提高了CAR膜的分解速率,而不像含锡或含氢共聚物。在实际应用中,我们制备了一种基于CT造影剂碘己醇的碘化敏化剂,并将其作为EUV光刻(EUVL)实验中的EUV敏化剂,发现碘化敏化剂可以同时作为敏化剂和碱猝灭剂。这些结果表明,碘化化合物有助于提高car在EUVL中的图形化性能。
{"title":"Use of highly EUV absorbing element in chemically amplified resist","authors":"Yejin Ku, Han Bit Park, Gayoung Kim, Jin-Kyun Lee, Jong-Won Lee, Byeong-Gyu Park, Sangsul Lee","doi":"10.1117/12.2657982","DOIUrl":"https://doi.org/10.1117/12.2657982","url":null,"abstract":"We propose a method for easily determining the extent of solubility change of chemically amplified resist (CAR) films with different types of extreme UV (EUV)-absorbing elements. The concentration of acid molecules depends on the production yield of secondary electrons, and it affects the deprotection reaction rate in the resist and ultimately the residual resist film thickness. Materials capable of increasing EUV absorption were prepared by incorporating tin, iodine, or hydrogen in a model fluoroalkylated copolymer. A CAR film containing a proton exchange component was spin-coated on a substrate, and a thin film composed of one of the fluoroalkylated copolymers containing elements and a photoacid generator was cast thereon. Under EUV irradiation, the iodine containing copolymer increased the decomposition rate of the CAR film, unlike the case of the tin- or hydrogen containing copolymer. For practical use, we prepared an iodinated sensitizer based on a CT contrast agent, iohexol, for utilizing it as an EUV sensitizer in an EUV lithography (EUVL) experiment, and it was found that the iohexol-based iodinated sensitizer could simultaneously act as a sensitizer and a base quencher. These results show that iodinated compounds can help enhance the patterning performance of CARs in EUVL.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128062629","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Characterization of TSV etch from a sustainability standpoint 从可持续性的角度表征TSV蚀刻
Pub Date : 2023-05-01 DOI: 10.1117/12.2658564
Osakpolo Isowamwen, N. Marchack, D. Koty, Qingyun Yang, Hien Nguyen, S. Molis, S. Lefevre, M. Hopstaken, A. Metz, Jeffrey C. Shearer, R. Bruce
The recent passing of the CHIPS act has highlighted the semiconductor industry as a driver of innovation. Simultaneously, environmental legislation regarding per- and polyfluoroalkylated substances (PFAS) usage has become a major focus in both the US and EU, which has potential implications for many hydro- and perfluorocarbon (HFC/PFC) gases currently used in semiconductor manufacturing. High-aspect ratio (HAR) etch processes are a critical component of two high-growth manufacturing areas (packaging and solid-state memory), however, they are significant consumers of HFC/PFC chemistries due to the vertical scale of the features involved. This paper analyzes reduced gas flow effects in a HAR through-silicon via (TSV) etch process, with the aim of improving the sustainability of future processes through an improved mechanistic understanding. We demonstrate a cyclic C4F8 /SF6 TSV process with ~90% ER and comparable sidewall roughness using 50% of the SF6 flow rate and 60% of the passivation time. We also show through TOF-SIMS analysis a depth dependence of the sulfur and fluorocarbon concentrations on the TSV sidewall which varies with gas flow rate, providing further insight into the mechanisms associated with HAR etching.
最近通过的《芯片法案》凸显了半导体行业作为创新驱动力的地位。与此同时,有关全氟和多氟烷基化物质(PFAS)使用的环境立法已成为美国和欧盟的主要焦点,这对目前半导体制造中使用的许多氢氟碳和全氟碳(HFC/PFC)气体具有潜在影响。高纵横比(HAR)蚀刻工艺是两个高增长制造领域(封装和固态存储器)的关键组成部分,然而,由于所涉及的特性的垂直规模,它们是HFC/PFC化学品的重要消费者。本文分析了HAR通硅孔(TSV)蚀刻工艺中气体流动减少的影响,目的是通过改进机理理解来提高未来工艺的可持续性。我们演示了一种循环C4F8 /SF6 TSV工艺,使用50%的SF6流量和60%的钝化时间,具有~90%的ER和相当的侧壁粗糙度。我们还通过TOF-SIMS分析显示,硫和氟碳浓度对TSV侧壁的深度依赖关系随着气体流速的变化而变化,从而进一步深入了解与HAR蚀刻相关的机制。
{"title":"Characterization of TSV etch from a sustainability standpoint","authors":"Osakpolo Isowamwen, N. Marchack, D. Koty, Qingyun Yang, Hien Nguyen, S. Molis, S. Lefevre, M. Hopstaken, A. Metz, Jeffrey C. Shearer, R. Bruce","doi":"10.1117/12.2658564","DOIUrl":"https://doi.org/10.1117/12.2658564","url":null,"abstract":"The recent passing of the CHIPS act has highlighted the semiconductor industry as a driver of innovation. Simultaneously, environmental legislation regarding per- and polyfluoroalkylated substances (PFAS) usage has become a major focus in both the US and EU, which has potential implications for many hydro- and perfluorocarbon (HFC/PFC) gases currently used in semiconductor manufacturing. High-aspect ratio (HAR) etch processes are a critical component of two high-growth manufacturing areas (packaging and solid-state memory), however, they are significant consumers of HFC/PFC chemistries due to the vertical scale of the features involved. This paper analyzes reduced gas flow effects in a HAR through-silicon via (TSV) etch process, with the aim of improving the sustainability of future processes through an improved mechanistic understanding. We demonstrate a cyclic C4F8 /SF6 TSV process with ~90% ER and comparable sidewall roughness using 50% of the SF6 flow rate and 60% of the passivation time. We also show through TOF-SIMS analysis a depth dependence of the sulfur and fluorocarbon concentrations on the TSV sidewall which varies with gas flow rate, providing further insight into the mechanisms associated with HAR etching.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130474371","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Advanced Lithography
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1