A. Soussou, G. Marti, Z. Tokei, S. Park, G. Jurczak, B. Vincent
In this work, process modeling was coupled with actual Si data to perform process optimization and control of an 18nm metal pitch (MP18) semi-damascene flow with fully self-aligned vias (FSAV). We explored the impact of process variations and patterning sensitivities on line and via resistances as well as on line capacitance variability. We also benchmarked capacitance variability using partial-airgap and gap fill options. From this study, we have identified significant process parameters and corresponding process windows that need to be controlled to ensure successful manufacturability of the MP18 semi-damascene flow.
{"title":"Variability study of MP18 semi-damascene interconnects with fully self-aligned vias","authors":"A. Soussou, G. Marti, Z. Tokei, S. Park, G. Jurczak, B. Vincent","doi":"10.1117/12.2658325","DOIUrl":"https://doi.org/10.1117/12.2658325","url":null,"abstract":"In this work, process modeling was coupled with actual Si data to perform process optimization and control of an 18nm metal pitch (MP18) semi-damascene flow with fully self-aligned vias (FSAV). We explored the impact of process variations and patterning sensitivities on line and via resistances as well as on line capacitance variability. We also benchmarked capacitance variability using partial-airgap and gap fill options. From this study, we have identified significant process parameters and corresponding process windows that need to be controlled to ensure successful manufacturability of the MP18 semi-damascene flow.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"89 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132086336","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Extreme ultraviolet lithography (EUVL) technology is one of the promising high volume manufacturing processes for devices below 7nm. However, the technology still has several issues for HVM. Especially, RLS (Resolution, LWR, and sensitivity) trade-off remains as one of the obvious problems for resist patterning. In which, resist resolution is one of the challenges to make fine pattern. For fine patterning, High NA EUV is predicted as one of candidate for enabling the future generation of device manufacturing. In this situation, investigation of chemically amplified resist (CAR) is being intensively conducted as one of candidate material for high-NA EUVL. However, CAR has one of key challenge for mask transfer because it is expected that thin resist film thickness is applied to exhibit good lithographic performance. As one of the solutions for this issue, we focused on the novel material which selectively makes a growth of the pattern.
{"title":"The novel materials for pattern growing on EUV resists","authors":"H. Yanagita, Kazuma Yamamoto","doi":"10.1117/12.2657969","DOIUrl":"https://doi.org/10.1117/12.2657969","url":null,"abstract":"Extreme ultraviolet lithography (EUVL) technology is one of the promising high volume manufacturing processes for devices below 7nm. However, the technology still has several issues for HVM. Especially, RLS (Resolution, LWR, and sensitivity) trade-off remains as one of the obvious problems for resist patterning. In which, resist resolution is one of the challenges to make fine pattern. For fine patterning, High NA EUV is predicted as one of candidate for enabling the future generation of device manufacturing. In this situation, investigation of chemically amplified resist (CAR) is being intensively conducted as one of candidate material for high-NA EUVL. However, CAR has one of key challenge for mask transfer because it is expected that thin resist film thickness is applied to exhibit good lithographic performance. As one of the solutions for this issue, we focused on the novel material which selectively makes a growth of the pattern.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"14 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121586490","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
As the critical dimension (CD) of integrated circuits (ICs) becomes smaller and smaller, traditional optical lithography has a resolution limit due to the light diffraction effect, and surface plasmon lithography (SPL) beyond the diffraction limit developed in recent years is considered to be an alternative method to break through the diffraction limit. However, the fact that transverse magnetic (TM) waves are required to excite surface plasmon polaritons (SPP or SPPs) at the interface between a metal and a dielectric limits the application of surface plasmon lithography in imaging of the two-dimensional patterns in arbitrary shapes into photoresist. As an effective means of expanding existing lithography technology, double exposure (DE) is expected to be an effective means to solve this problem. Taking the advantage of imaging principle of DE, this paper proposes for the first time to apply DE to SPL to improve the imaging quality of SPL and theoretically analyzes the effect of DE on the improvement of SPL patterning in two dimensions. Simulation is performed in commercial software of Comsol Multiphysics 6.0. The simulation result verifies and proves that, in two-dimensional patterning, DE provides better imaging quality than single exposure.
{"title":"Application of double exposure technique in plasmonic lithography","authors":"Huwen Ding, Lihong Liu, Lisong Dong, Zhishu Chen, Yayi Wei","doi":"10.1117/12.2657812","DOIUrl":"https://doi.org/10.1117/12.2657812","url":null,"abstract":"As the critical dimension (CD) of integrated circuits (ICs) becomes smaller and smaller, traditional optical lithography has a resolution limit due to the light diffraction effect, and surface plasmon lithography (SPL) beyond the diffraction limit developed in recent years is considered to be an alternative method to break through the diffraction limit. However, the fact that transverse magnetic (TM) waves are required to excite surface plasmon polaritons (SPP or SPPs) at the interface between a metal and a dielectric limits the application of surface plasmon lithography in imaging of the two-dimensional patterns in arbitrary shapes into photoresist. As an effective means of expanding existing lithography technology, double exposure (DE) is expected to be an effective means to solve this problem. Taking the advantage of imaging principle of DE, this paper proposes for the first time to apply DE to SPL to improve the imaging quality of SPL and theoretically analyzes the effect of DE on the improvement of SPL patterning in two dimensions. Simulation is performed in commercial software of Comsol Multiphysics 6.0. The simulation result verifies and proves that, in two-dimensional patterning, DE provides better imaging quality than single exposure.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"86 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114377058","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao
The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.
{"title":"Negative-tone resists for EUV lithography","authors":"Masatoshi Suzuki, Youngjin Kim, Y. Her, Hengpeng Wu, Kun Si, M. Maturi, Philipp H. Fackler, M. Moinpour, R. Dammel, Yi Cao","doi":"10.1117/12.2659716","DOIUrl":"https://doi.org/10.1117/12.2659716","url":null,"abstract":"The adoption of extreme ultraviolet lithography (EUVL) has enabled the manufacturing of semiconductor chips with circuit dimensions below 20nm. Photoresists used in the current EUVL are based on the extension of polymeric chemically amplified photoresist system initially introduced three decades ago. While having been the industry's workhorse since the deep UV era, its limitations have begun to emerge too. With requirements for line edge roughness (LER) approaching single nanometer and resolution falling below 15nm, the inherent large scale and inhomogeneity of polymeric systems lead to great technical challenges. Miniaturization of the building blocks of photoresist is desired for further scaling. In the meanwhile, the demand for higher throughput in lithography process due to the restriction of EUV source power requires faster photo-speed as well. In this study, a molecular resist platform is developed with the superior dose-to-size well below 50mJ/cm2. The resist forms negative-tone images which is beneficial for printing pillars and isolated lines utilizing dark-field masks. Pillars in hexagonal arrays with pitch below 38nm are patterned with local CD uniformity (LCDU) below 3nm. Thanks to its negative-tone nature, top loss of the resist film is minimal, which results in higher remaining film to sustain the subsequent etch process. The robust design of the molecular core renders the resist film enhanced etch resistance. Pattern transferring into over 15nm silicon layer was demonstrated using a simple stack. The new resists offer a more straightforward solution to print pillars and similar features without tone-inversion process. The negative-tone resists can be combined with the DSA technology to significantly improve cost of ownership. The processes were successfully implemented for both contact hole and line/space patterns with drastically improved pattern quality. LCDU of 1.4nm was achieved for contact hole pattern at pitch of 34nm in hexagonal array. It could be considered as an alternative to EUV double patterning or high-NA EUV processes.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124948027","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Hitomi Betsumiya, Yuko Tsutsui Ito, T. Kozawa, K. Sakamoto, M. Muramatsu
Recently chemically amplified resists are approaching their performance limits due to the fixed development process. In this study, the dissolution, swelling, and impedance change of resist polymers were measured by a development analyzer with a quartz crystal microbalance method. The resist polymer was poly(4-hydroxystyrene) (PHS), the hydroxyl groups of which were partially protected with t-butoxycarbonyl groups. The alkyl chain lengths of tetraalkylammonium hydroxide were varying from methyl to pentyl groups. When the alkyl chain length of TAAH increased from two to three, the dissolution mode markedly changed.
{"title":"Dependence of swelling and dissolution kinetics of poly(4-hydroxystyrene) in alkaline aqueous solution on alkyl chain length of tetraalkylammonium hydroxide","authors":"Hitomi Betsumiya, Yuko Tsutsui Ito, T. Kozawa, K. Sakamoto, M. Muramatsu","doi":"10.1117/12.2670175","DOIUrl":"https://doi.org/10.1117/12.2670175","url":null,"abstract":"Recently chemically amplified resists are approaching their performance limits due to the fixed development process. In this study, the dissolution, swelling, and impedance change of resist polymers were measured by a development analyzer with a quartz crystal microbalance method. The resist polymer was poly(4-hydroxystyrene) (PHS), the hydroxyl groups of which were partially protected with t-butoxycarbonyl groups. The alkyl chain lengths of tetraalkylammonium hydroxide were varying from methyl to pentyl groups. When the alkyl chain length of TAAH increased from two to three, the dissolution mode markedly changed.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124994766","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The availability of high-resolution and high throughput lithographic fabrication technologies such as electron-beam lithography based on Variable Shaped Beam writing and Character Projection opens the way for the flexible use of various optical nano-structures for some of the most demanding applications. The paper discusses the technical features, advantages, and limitations of these pattering approaches and will show how they can favorably be combined to realize optical nano-structures for applications, which are as diverse as gratings for ultra-short laser pulses or high resolution spectrometers, computer generated holograms for asphere testing, various optical meta-structures (lenses, gratings), or UV-polarizers.
{"title":"The potential of e-beam lithography for micro- and nano-optics on large areas","authors":"U. Zeitner, M. Banasch, M. Trost","doi":"10.1117/12.2658440","DOIUrl":"https://doi.org/10.1117/12.2658440","url":null,"abstract":"The availability of high-resolution and high throughput lithographic fabrication technologies such as electron-beam lithography based on Variable Shaped Beam writing and Character Projection opens the way for the flexible use of various optical nano-structures for some of the most demanding applications. The paper discusses the technical features, advantages, and limitations of these pattering approaches and will show how they can favorably be combined to realize optical nano-structures for applications, which are as diverse as gratings for ultra-short laser pulses or high resolution spectrometers, computer generated holograms for asphere testing, various optical meta-structures (lenses, gratings), or UV-polarizers.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"12497 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129043597","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi
Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organizati
{"title":"Advances and applications in nanoimprint lithography","authors":"N. Maruyama, Kazuhiro Sato, Y. Suzaki, Satoru Jimbo, Isamu Yamashita, Kenji Yamamoto, Kiyohito Yamamoto, Mitsuru Hiura, Yukio Takabayashi","doi":"10.1117/12.2658127","DOIUrl":"https://doi.org/10.1117/12.2658127","url":null,"abstract":"Imprint lithography is an effective and well-known technique for replication of nano-scale features. Nanoimprint lithography (NIL) manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. Previous studies have demonstrated NIL resolution better than 10nm, making the technology suitable for the printing of several generations of critical memory levels with a single mask. In addition, resist is applied only where necessary, thereby eliminating material waste. Given that there are no complicated optics in the imprint system, the reduction in the cost of the tool, when combined with simple single level processing and zero waste leads to a cost model that is very compelling for semiconductor memory applications. Memory fabrication is challenging, in particular for DRAM, because the roadmap for DRAM calls for continued scaling, eventually reaching half pitches of 14nm and beyond. For DRAM, overlay on some critical layers is much tighter than NAND Flash, with an error budget of 15-20% of the minimum half pitch. For 14nm, this means 2.1-2.8nm. DRAM device design is also challenging, and layouts are not always conducive to pitch dividing methods such as SADP and SAQP. This makes a direct printing process, such as NIL attractive solution. Logic is more challenging from a defectivity perspective, often requiring defect levels significantly lower than memory devices that incorporate redundancy. In this paper, we touch on the markets that can be addressed with NIL and also describe the efforts to further improve NIL performance. We specifically focus on performance improvements related to overlay, edge placement error and defectivity. For overlay, we present results on stability and also discuss new methods to further address high order distortion. For edge placement error (EPE), we discuss progress made towards addressing EPE budgets for memory devices. For defectivity, we review random defect generation, particle adders and mask inspection methods. NIL usability cases are also examined. In addition, we also discuss Canon’s recent involvement in the New Energy and Industrial Technology Development Organizati","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"34 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130160730","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
V. Vallejo-Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, N. Ollier, A. Valour, M. Traynar, Y. Jourlin
Zirconium oxide (ZrO2) is an intensively studied and used material due to its many remarkable physical and chemical properties. The high performances of ZrO2 allows its use in many applications such as coatings against corrosion, wear and oxidation, optical applications, anti-counterfeiting, or in medical applications such as dental or prosthesis. There are many methods to synthesize ZrO2 among which we can mention reactive sputtering, chemical vapor deposition, atomic layer deposition. These techniques are well known in thin film deposition processes. However, they do not allow to easily structure the coatings to produce complex patterns (shapes, micro-nanostructures) on variable substrates in shape and size. Another process of elaboration of ZrO2 thin films is the sol-gel method. This technique makes possible the micro-nanostructuring of the films by optical and nanoimprint-based lithography. In this paper, the authors will describe how the ZrO2 sol-gel can be used to obtain both complex patterns (shapes, micro-nanostructures) by optical lithography (mask lithography, colloidal lithography) and by nanoimprint lithography. The authors will also show the possibility to use this versatile sol-gel and the associated structuring methods to structure complex patterns on variable substrates in their nature and geometry, as well as the possibility of using this process in optical applications. Preliminary results will be presented through several microstructured ZrO2 demonstrators obtained from the microstructuring process on sol-gel layers. The produced layers have been characterized by Raman spectroscopy, scanning electron microscopy, atomic force microscopy. The optical properties (transmittance, reflectance) have also been investigated and a study of the influence of a thermal treatment on the refractive index and thickness of the layer has been carried out.
{"title":"Micro-nanostructuring of ZrO2 sol-gel by optical and nanoimprint lithography on various substrate for optical applications","authors":"V. Vallejo-Otero, N. Crespo-Monteiro, E. Gamet, S. Reynaud, N. Ollier, A. Valour, M. Traynar, Y. Jourlin","doi":"10.1117/12.2657886","DOIUrl":"https://doi.org/10.1117/12.2657886","url":null,"abstract":"Zirconium oxide (ZrO2) is an intensively studied and used material due to its many remarkable physical and chemical properties. The high performances of ZrO2 allows its use in many applications such as coatings against corrosion, wear and oxidation, optical applications, anti-counterfeiting, or in medical applications such as dental or prosthesis. There are many methods to synthesize ZrO2 among which we can mention reactive sputtering, chemical vapor deposition, atomic layer deposition. These techniques are well known in thin film deposition processes. However, they do not allow to easily structure the coatings to produce complex patterns (shapes, micro-nanostructures) on variable substrates in shape and size. Another process of elaboration of ZrO2 thin films is the sol-gel method. This technique makes possible the micro-nanostructuring of the films by optical and nanoimprint-based lithography. In this paper, the authors will describe how the ZrO2 sol-gel can be used to obtain both complex patterns (shapes, micro-nanostructures) by optical lithography (mask lithography, colloidal lithography) and by nanoimprint lithography. The authors will also show the possibility to use this versatile sol-gel and the associated structuring methods to structure complex patterns on variable substrates in their nature and geometry, as well as the possibility of using this process in optical applications. Preliminary results will be presented through several microstructured ZrO2 demonstrators obtained from the microstructuring process on sol-gel layers. The produced layers have been characterized by Raman spectroscopy, scanning electron microscopy, atomic force microscopy. The optical properties (transmittance, reflectance) have also been investigated and a study of the influence of a thermal treatment on the refractive index and thickness of the layer has been carried out.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"41 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116864115","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Yejin Ku, Han Bit Park, Gayoung Kim, Jin-Kyun Lee, Jong-Won Lee, Byeong-Gyu Park, Sangsul Lee
We propose a method for easily determining the extent of solubility change of chemically amplified resist (CAR) films with different types of extreme UV (EUV)-absorbing elements. The concentration of acid molecules depends on the production yield of secondary electrons, and it affects the deprotection reaction rate in the resist and ultimately the residual resist film thickness. Materials capable of increasing EUV absorption were prepared by incorporating tin, iodine, or hydrogen in a model fluoroalkylated copolymer. A CAR film containing a proton exchange component was spin-coated on a substrate, and a thin film composed of one of the fluoroalkylated copolymers containing elements and a photoacid generator was cast thereon. Under EUV irradiation, the iodine containing copolymer increased the decomposition rate of the CAR film, unlike the case of the tin- or hydrogen containing copolymer. For practical use, we prepared an iodinated sensitizer based on a CT contrast agent, iohexol, for utilizing it as an EUV sensitizer in an EUV lithography (EUVL) experiment, and it was found that the iohexol-based iodinated sensitizer could simultaneously act as a sensitizer and a base quencher. These results show that iodinated compounds can help enhance the patterning performance of CARs in EUVL.
{"title":"Use of highly EUV absorbing element in chemically amplified resist","authors":"Yejin Ku, Han Bit Park, Gayoung Kim, Jin-Kyun Lee, Jong-Won Lee, Byeong-Gyu Park, Sangsul Lee","doi":"10.1117/12.2657982","DOIUrl":"https://doi.org/10.1117/12.2657982","url":null,"abstract":"We propose a method for easily determining the extent of solubility change of chemically amplified resist (CAR) films with different types of extreme UV (EUV)-absorbing elements. The concentration of acid molecules depends on the production yield of secondary electrons, and it affects the deprotection reaction rate in the resist and ultimately the residual resist film thickness. Materials capable of increasing EUV absorption were prepared by incorporating tin, iodine, or hydrogen in a model fluoroalkylated copolymer. A CAR film containing a proton exchange component was spin-coated on a substrate, and a thin film composed of one of the fluoroalkylated copolymers containing elements and a photoacid generator was cast thereon. Under EUV irradiation, the iodine containing copolymer increased the decomposition rate of the CAR film, unlike the case of the tin- or hydrogen containing copolymer. For practical use, we prepared an iodinated sensitizer based on a CT contrast agent, iohexol, for utilizing it as an EUV sensitizer in an EUV lithography (EUVL) experiment, and it was found that the iohexol-based iodinated sensitizer could simultaneously act as a sensitizer and a base quencher. These results show that iodinated compounds can help enhance the patterning performance of CARs in EUVL.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"6 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128062629","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Osakpolo Isowamwen, N. Marchack, D. Koty, Qingyun Yang, Hien Nguyen, S. Molis, S. Lefevre, M. Hopstaken, A. Metz, Jeffrey C. Shearer, R. Bruce
The recent passing of the CHIPS act has highlighted the semiconductor industry as a driver of innovation. Simultaneously, environmental legislation regarding per- and polyfluoroalkylated substances (PFAS) usage has become a major focus in both the US and EU, which has potential implications for many hydro- and perfluorocarbon (HFC/PFC) gases currently used in semiconductor manufacturing. High-aspect ratio (HAR) etch processes are a critical component of two high-growth manufacturing areas (packaging and solid-state memory), however, they are significant consumers of HFC/PFC chemistries due to the vertical scale of the features involved. This paper analyzes reduced gas flow effects in a HAR through-silicon via (TSV) etch process, with the aim of improving the sustainability of future processes through an improved mechanistic understanding. We demonstrate a cyclic C4F8 /SF6 TSV process with ~90% ER and comparable sidewall roughness using 50% of the SF6 flow rate and 60% of the passivation time. We also show through TOF-SIMS analysis a depth dependence of the sulfur and fluorocarbon concentrations on the TSV sidewall which varies with gas flow rate, providing further insight into the mechanisms associated with HAR etching.
{"title":"Characterization of TSV etch from a sustainability standpoint","authors":"Osakpolo Isowamwen, N. Marchack, D. Koty, Qingyun Yang, Hien Nguyen, S. Molis, S. Lefevre, M. Hopstaken, A. Metz, Jeffrey C. Shearer, R. Bruce","doi":"10.1117/12.2658564","DOIUrl":"https://doi.org/10.1117/12.2658564","url":null,"abstract":"The recent passing of the CHIPS act has highlighted the semiconductor industry as a driver of innovation. Simultaneously, environmental legislation regarding per- and polyfluoroalkylated substances (PFAS) usage has become a major focus in both the US and EU, which has potential implications for many hydro- and perfluorocarbon (HFC/PFC) gases currently used in semiconductor manufacturing. High-aspect ratio (HAR) etch processes are a critical component of two high-growth manufacturing areas (packaging and solid-state memory), however, they are significant consumers of HFC/PFC chemistries due to the vertical scale of the features involved. This paper analyzes reduced gas flow effects in a HAR through-silicon via (TSV) etch process, with the aim of improving the sustainability of future processes through an improved mechanistic understanding. We demonstrate a cyclic C4F8 /SF6 TSV process with ~90% ER and comparable sidewall roughness using 50% of the SF6 flow rate and 60% of the passivation time. We also show through TOF-SIMS analysis a depth dependence of the sulfur and fluorocarbon concentrations on the TSV sidewall which varies with gas flow rate, providing further insight into the mechanisms associated with HAR etching.","PeriodicalId":212235,"journal":{"name":"Advanced Lithography","volume":"55 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2023-05-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130474371","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}