首页 > 最新文献

Microelectronics International最新文献

英文 中文
Improving displacement of silicon V-shaped electrothermal microactuator using platinum sputter deposition process 利用铂溅射沉积工艺提高硅v型电热微执行器的位移
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-02-02 DOI: 10.1108/mi-05-2022-0076
D. T. Nguyen, P. H. Pham, K. T. Hoang
PurposeThis paper aims to propose a method to reduce the resistance of silicon-based V-shaped electrothermal microactuator (VEM) by applying a surface sputtering process.Design/methodology/approachFour VEM’s samples have been fabricated using traditional silicon on insulator (SOI)-Micro-electro-mechanical System (MEMS) technology, three of them are coated with a thin layer of platinum on the top surface by sputtering technique with different sputtered times and the other is original. The displacements of the VEM are calculated and simulated to evaluate the advantages of sputtering method.FindingsThe measured results show that the average resistance of the sputtered structures is approximately 1.16, 1.55 and 2.4 times lower than the non-sputtering sample corresponding to the sputtering time of 1.5, 3 and 6 min. Simulation results confirmed that the maximum displacement of the sputtered VEM is almost 1.45 times larger than non-sputtering one in the range of voltage from 8 to 20 V. The experimental displacements are also measured to validate the better performance of the sputtered samples.Originality/valueThe experimental results demonstrated the better displacement of the VEM structure after using the platinum sputtering process. The improvement can be considered and applied for enhancing displacement as well as decreasing the driving voltage of the other electrothermal microactuators like U- or Z-shaped structures while combining with the low-cost SOI-MEMS micromachining technology.
目的提出一种采用表面溅射工艺降低硅基V型电热微激励器(VEM)电阻的方法。设计/方法/方法使用传统的绝缘体上硅(SOI)-微机电系统(MEMS)技术制作了四个VEM样品,其中三个样品通过不同溅射时间的溅射技术在顶部表面涂覆了一层薄铂,另一个样品是原始的。对VEM的位移进行了计算和模拟,以评价溅射法的优点。测量结果表明,在1.5、3和6的溅射时间下,溅射结构的平均电阻分别比非溅射样品低约1.16、1.55和2.4倍 仿真结果表明,在8~20的电压范围内,溅射VEM的最大位移几乎是非溅射VEM最大位移的1.45倍 V.还测量了实验位移,以验证溅射样品的更好性能。独创性/价值实验结果表明,使用铂溅射工艺后,VEM结构的位移更好。这种改进可以考虑并应用于增强位移以及降低U形或Z形结构等其他电热微致动器的驱动电压,同时结合低成本的SOI-MEMS微加工技术。
{"title":"Improving displacement of silicon V-shaped electrothermal microactuator using platinum sputter deposition process","authors":"D. T. Nguyen, P. H. Pham, K. T. Hoang","doi":"10.1108/mi-05-2022-0076","DOIUrl":"https://doi.org/10.1108/mi-05-2022-0076","url":null,"abstract":"\u0000Purpose\u0000This paper aims to propose a method to reduce the resistance of silicon-based V-shaped electrothermal microactuator (VEM) by applying a surface sputtering process.\u0000\u0000\u0000Design/methodology/approach\u0000Four VEM’s samples have been fabricated using traditional silicon on insulator (SOI)-Micro-electro-mechanical System (MEMS) technology, three of them are coated with a thin layer of platinum on the top surface by sputtering technique with different sputtered times and the other is original. The displacements of the VEM are calculated and simulated to evaluate the advantages of sputtering method.\u0000\u0000\u0000Findings\u0000The measured results show that the average resistance of the sputtered structures is approximately 1.16, 1.55 and 2.4 times lower than the non-sputtering sample corresponding to the sputtering time of 1.5, 3 and 6 min. Simulation results confirmed that the maximum displacement of the sputtered VEM is almost 1.45 times larger than non-sputtering one in the range of voltage from 8 to 20 V. The experimental displacements are also measured to validate the better performance of the sputtered samples.\u0000\u0000\u0000Originality/value\u0000The experimental results demonstrated the better displacement of the VEM structure after using the platinum sputtering process. The improvement can be considered and applied for enhancing displacement as well as decreasing the driving voltage of the other electrothermal microactuators like U- or Z-shaped structures while combining with the low-cost SOI-MEMS micromachining technology.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-02-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"49108723","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Radiation-hardened flip-flop for single event upset tolerance 针对单一事件干扰的抗辐射触发器
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-02-02 DOI: 10.1108/mi-06-2022-0110
Chunhua Qi, Guoliang Ma, Yanqing Zhang, Tianqi Wang, Erming Rui, Qiang Jiao, Chaoming Liu, Mingxue Huo, G. Zhai
PurposeThe purpose of this paper is to present a transition detector (TD)-based radiation hardened flip-flop (TDRH-FF) for single event upset (SEU).Design/methodology/approachWith SEU recovery and single event transient (SET) detector mechanism, the TDRH-FF can tolerate SEU during hold mode and generate a warning signal for architecture-level recovery during transport mode when input signal contains SET. Evaluation results show that the TDRH-FF outperforms comparable comprehensive performance.FindingsSimulation results show that 1) the mean pulse width of the correction glitches (at full width half maximum) of TDRH-FF is less than 10 ps; 2) the area overhead of TDRH-FF is similar to the EVFERST-FF, BISER-FF and DNURHL-FF; 3) TDRH-FF has the same average power consumption as SETTOF, and moderate PDP and Ps values among these compared FFs.Originality/valueIn this paper, a TD-based TDRH-FF is proposed to solve the problems in the previous design. And the main contributions of the proposed TDRH-FF are summarized: Minimum size transistors are used in the proposed TD which leads to a considerable decrease in area overheads and propagation delay (resulting in an ignorable correction glitch); and compared with other radiation hardened flip-flop, TDRH-FF outperforms comparable comprehensive performance.
目的提出一种基于跃迁检测器(TD)的单事件扰动辐射强化触发器(TDRH-FF)。设计/方法/方法通过SEU恢复和单事件瞬态(SET)检测器机制,TDRH-FF可以在保持模式下容忍SEU,并在传输模式下,当输入信号包含SET时,生成用于架构级恢复的警告信号。评价结果表明,TDRH-FF的综合性能优于同类材料。仿真结果表明:1)TDRH-FF校正差错的平均脉宽(全宽一半最大值)小于10 ps;2) TDRH-FF的面积开销与EVFERST-FF、BISER-FF和DNURHL-FF相似;3) TDRH-FF的平均功耗与SETTOF相同,PDP和Ps值比较适中。本文提出了一种基于td的TDRH-FF来解决以往设计中存在的问题。总结了TDRH-FF的主要贡献:在该TD中使用了最小尺寸的晶体管,从而大大降低了面积开销和传播延迟(导致可忽略的校正故障);与其他抗辐射触发器相比,TDRH-FF的综合性能优于同类触发器。
{"title":"Radiation-hardened flip-flop for single event upset tolerance","authors":"Chunhua Qi, Guoliang Ma, Yanqing Zhang, Tianqi Wang, Erming Rui, Qiang Jiao, Chaoming Liu, Mingxue Huo, G. Zhai","doi":"10.1108/mi-06-2022-0110","DOIUrl":"https://doi.org/10.1108/mi-06-2022-0110","url":null,"abstract":"\u0000Purpose\u0000The purpose of this paper is to present a transition detector (TD)-based radiation hardened flip-flop (TDRH-FF) for single event upset (SEU).\u0000\u0000\u0000Design/methodology/approach\u0000With SEU recovery and single event transient (SET) detector mechanism, the TDRH-FF can tolerate SEU during hold mode and generate a warning signal for architecture-level recovery during transport mode when input signal contains SET. Evaluation results show that the TDRH-FF outperforms comparable comprehensive performance.\u0000\u0000\u0000Findings\u0000Simulation results show that 1) the mean pulse width of the correction glitches (at full width half maximum) of TDRH-FF is less than 10 ps; 2) the area overhead of TDRH-FF is similar to the EVFERST-FF, BISER-FF and DNURHL-FF; 3) TDRH-FF has the same average power consumption as SETTOF, and moderate PDP and Ps values among these compared FFs.\u0000\u0000\u0000Originality/value\u0000In this paper, a TD-based TDRH-FF is proposed to solve the problems in the previous design. And the main contributions of the proposed TDRH-FF are summarized: Minimum size transistors are used in the proposed TD which leads to a considerable decrease in area overheads and propagation delay (resulting in an ignorable correction glitch); and compared with other radiation hardened flip-flop, TDRH-FF outperforms comparable comprehensive performance.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-02-02","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"47487752","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A monopole polarisation diversity antenna for high density packaging MIMO applications 用于高密度封装MIMO应用的单极极化分集天线
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-01-27 DOI: 10.1108/mi-07-2022-0127
Dhanalakshmi K.M., K. G., R. S.
PurposeThis paper aims to propose a single element, dual feed, polarisation diversity antenna. The proposed antenna operates from 2.9 to 10.6 GHz for covering the entire ultra-wideband (UWB) frequency range. The antenna is designed for usage in massive multiple input multiple output (MIMO) and closed packaging applications.Design/methodology/approachThe size of the antenna is 24 × 24 × 1.6 mm3. The radiating element of the antenna is derived from the Sierpinski–Knopp (SK) fractal geometry for miniaturization of the antenna size. The antenna has a single reflecting stub placed between the two orthogonal feeds, to improve isolation.FindingsThe proposed antenna system exhibits S11 < −10 dB, S21 < −15 dB and stable radiation characteristics in the entire operating region. It also offers an envelope correlation coefficient < 0.01, a diversity gain > 9.9 dB and a capacity loss < 0.4 bps/Hz. The simulated and measured outputs were compared and results were found to be in similarity.Originality/valueThe proposed UWB-MIMO antenna has significant size reduction through usage of SK fractal geometry for radiating element. The antenna uses a single radiating element with dual feed. The stub is between the antenna elements which provide a compact and miniaturized MIMO solution for high density packaging applications. The UWB-MIMO antenna provides an isolation better than −20 dB in the entire UWB operating band.
目的设计一种单元件双馈极化分集天线。拟议的天线工作在2.9至10.6 GHz,覆盖整个超宽带(UWB)频率范围。该天线设计用于大规模多输入多输出(MIMO)和封闭封装应用。设计/方法/方法天线尺寸为24 × 24 × 1.6 mm3。天线的辐射单元来源于Sierpinski-Knopp (SK)分形几何,以实现天线尺寸的小型化。天线在两个正交馈电之间有一个单一的反射短段,以提高隔离。结果:该天线系统具有S11 < - 10 dB, S21 < - 15 dB,在整个工作区域具有稳定的辐射特性。它还提供包络相关系数< 0.01,分集增益> 9.9 dB和容量损失< 0.4 bps/Hz。对模拟输出和实测输出进行了比较,发现结果是相似的。独创性/价值所提出的UWB-MIMO天线通过对辐射单元使用SK分形几何来显著减小尺寸。该天线采用双馈单辐射元件。存根位于天线元件之间,为高密度封装应用提供了紧凑和小型化的MIMO解决方案。UWB- mimo天线在整个UWB工作频带内的隔离度优于−20 dB。
{"title":"A monopole polarisation diversity antenna for high density packaging MIMO applications","authors":"Dhanalakshmi K.M., K. G., R. S.","doi":"10.1108/mi-07-2022-0127","DOIUrl":"https://doi.org/10.1108/mi-07-2022-0127","url":null,"abstract":"\u0000Purpose\u0000This paper aims to propose a single element, dual feed, polarisation diversity antenna. The proposed antenna operates from 2.9 to 10.6 GHz for covering the entire ultra-wideband (UWB) frequency range. The antenna is designed for usage in massive multiple input multiple output (MIMO) and closed packaging applications.\u0000\u0000\u0000Design/methodology/approach\u0000The size of the antenna is 24 × 24 × 1.6 mm3. The radiating element of the antenna is derived from the Sierpinski–Knopp (SK) fractal geometry for miniaturization of the antenna size. The antenna has a single reflecting stub placed between the two orthogonal feeds, to improve isolation.\u0000\u0000\u0000Findings\u0000The proposed antenna system exhibits S11 < −10 dB, S21 < −15 dB and stable radiation characteristics in the entire operating region. It also offers an envelope correlation coefficient < 0.01, a diversity gain > 9.9 dB and a capacity loss < 0.4 bps/Hz. The simulated and measured outputs were compared and results were found to be in similarity.\u0000\u0000\u0000Originality/value\u0000The proposed UWB-MIMO antenna has significant size reduction through usage of SK fractal geometry for radiating element. The antenna uses a single radiating element with dual feed. The stub is between the antenna elements which provide a compact and miniaturized MIMO solution for high density packaging applications. The UWB-MIMO antenna provides an isolation better than −20 dB in the entire UWB operating band.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-01-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"43552578","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Double-sided silicon vias (DSSVs) interconnection for large-sized interposer fabrication 用于大尺寸内插器制造的双面硅过孔(DSSV)互连
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-01-20 DOI: 10.1108/mi-07-2022-0139
Haibo Yang, Fengwei Dai, Liqiang Cao, Guofu Cao, Zhidan Fang, Qidong Wang
PurposeA large-scale detection system with more data in short time bins, small dead space and small signal identification is the ideology the scientists pursuing. These proposed demands are able to be solved by 2.5 D integration. The substance of a 2.5 D integration is called silicon interposer, which consists of the through silicon via (TSV) and redistribution layer. However, the state-of-the-art silicon interposer is not able to sustain its own mechanical strength with the detector/readout array often sitting as standalone in large science facilities and fails to reduce the expansions on the installation of the components due to its insufficient thickness and size. This study aims to propose a moderation of current interposer with large-sized, standalone properties.Design/methodology/approachThis paper proposes an interposer based on double-sided silicon vias (DSSVs) interconnection. Unlike conventional interposer that is interconnected by TSVs, DSSVs interposer is interconnected by top vias (T-vias) and bottom vias (B-vias).FindingsThe fabrication process of DSSVs interposer is introduced, and the superiority of the double-sided interconnection process with two etch-stop layers is described in detail. The impact of different T-vias depth on DSSVs interconnections in the same wafer is discussed and two times PI opening processes are proposed to eliminate air bubbles in the B-via. The relationship between the interposer thickness and warpage is studied by finite element analysis simulation and experiment. The prototype of the DSSVs interposer with a size of 100  × 100 mm and a thickness of 318.2 µm is fabricated, and electrical tests including short tests and continuity tests are carried out.Originality/valueThis paper proposes a large-sized and stand-alone interposer based on DSSVs interconnection.
目的在短时间内实现数据量大、死区小、信号识别小的大规模检测系统是科学家们所追求的思想。这些要求可以通过2.5 D集成来解决。2.5 D集成的实质被称为硅中间层,它由硅通孔(TSV)和重分配层组成。然而,最先进的硅中间体无法维持其自身的机械强度,探测器/读出阵列通常作为独立的设备安装在大型科学设施中,并且由于其厚度和尺寸不足而无法减少组件安装的扩展。本研究旨在提出一种具有大尺寸,独立属性的当前中介器。设计/方法/方法本文提出了一种基于双面硅通孔(dssv)互连的介面器。与传统的由tsv连接的中介器不同,dssv中介器由顶部通孔(t -通孔)和底部通孔(b -通孔)连接。研究结果介绍了dssv间插板的制作工艺,并详细描述了双止蚀层双面互连工艺的优越性。讨论了不同的t孔深度对同一晶圆上dssv互连的影响,并提出了两次PI打开工艺以消除b孔中的气泡。采用有限元分析、仿真和实验相结合的方法研究了中间板厚度与翘曲量的关系。制作了尺寸为100 × 100 mm、厚度为318.2µm的dssv中间插板样机,并进行了包括短接试验和连续性试验在内的电学试验。本文提出了一种基于dssv互联的大型单机中介器。
{"title":"Double-sided silicon vias (DSSVs) interconnection for large-sized interposer fabrication","authors":"Haibo Yang, Fengwei Dai, Liqiang Cao, Guofu Cao, Zhidan Fang, Qidong Wang","doi":"10.1108/mi-07-2022-0139","DOIUrl":"https://doi.org/10.1108/mi-07-2022-0139","url":null,"abstract":"\u0000Purpose\u0000A large-scale detection system with more data in short time bins, small dead space and small signal identification is the ideology the scientists pursuing. These proposed demands are able to be solved by 2.5 D integration. The substance of a 2.5 D integration is called silicon interposer, which consists of the through silicon via (TSV) and redistribution layer. However, the state-of-the-art silicon interposer is not able to sustain its own mechanical strength with the detector/readout array often sitting as standalone in large science facilities and fails to reduce the expansions on the installation of the components due to its insufficient thickness and size. This study aims to propose a moderation of current interposer with large-sized, standalone properties.\u0000\u0000\u0000Design/methodology/approach\u0000This paper proposes an interposer based on double-sided silicon vias (DSSVs) interconnection. Unlike conventional interposer that is interconnected by TSVs, DSSVs interposer is interconnected by top vias (T-vias) and bottom vias (B-vias).\u0000\u0000\u0000Findings\u0000The fabrication process of DSSVs interposer is introduced, and the superiority of the double-sided interconnection process with two etch-stop layers is described in detail. The impact of different T-vias depth on DSSVs interconnections in the same wafer is discussed and two times PI opening processes are proposed to eliminate air bubbles in the B-via. The relationship between the interposer thickness and warpage is studied by finite element analysis simulation and experiment. The prototype of the DSSVs interposer with a size of 100  × 100 mm and a thickness of 318.2 µm is fabricated, and electrical tests including short tests and continuity tests are carried out.\u0000\u0000\u0000Originality/value\u0000This paper proposes a large-sized and stand-alone interposer based on DSSVs interconnection.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-01-20","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"47032481","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Facile ligand-exchange strategy to promote low-temperature nano-sintering of oleylamine-capped Ag nanoparticles 促进配体交换策略促进油胺封端Ag纳米粒子的低温纳米烧结
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-01-16 DOI: 10.1108/mi-11-2022-0186
Liyun Li, Yu Zhang, Shiyu Xia, Zhefei Sun, Junjie Yuan, D. Su, H. Cao, X. Chai, Qingtian Wang, Jintang Li, Zhihao Zhang
PurposeThis study aims to develop a facile ligand-exchange strategy to promote nano-sintering of oleylamine (OAM)-capped silver nanoparticles (AgNPs). By using ligand exchange process with NH4OH to remove OAM from the surface of AgNP, this study reports effectively reducing the sintering temperature of AgNPs to achieve low-temperature nano-sintering. Compared with untreated AgNPs of OAM-capped, NH4OH-treated AgNPs possess superior sintering performance that could be applied to a fractional generator device as conductor and in favour of the fabrication of flexible circuit modules.Design/methodology/approachFirst, oleylamine is used as reductant to synthesize monodisperse AgNPs by a simple one-step method. Then ligand exchange is used with NH4OH at different treating times to remove OAM, and micro-Fourier transform infrared spectroscopy and contact angle test are applied to clear the mechanism and structure characteristics of these processes. Finally, NH4OH-treated AgNPs sediment sintering is used at different temperatures to test electrical resistivity and use ex situ scanning electron microscopy combined with in situ X-ray diffraction to study changes in microstructure in the whole nano-sintering process.FindingsThe AgNPs are always capped by organic ligands to prevent nanoparticles agglomeration. And oleylamine used as reductant could synthesize desirable size distributions of 8–32 nm with monodisperse globular shapes, but the low-temperature nano-sintering seemed not to be achieved by the oleylamine-capped AgNPs because OAM is an organic with long C-chain. The ligand exchange approach was enabled to replace the original organic ligands capped on AgNPs with organic ligands of low thermal stability which could promote nano-sintering. After ligand exchange treated AgNPs could be sintered on photo paper, polydimethylsiloxane (PDMS) and polyethylene terephthalate flexible substrates at low temperature.Originality/valueIn this research, the method ligand exchange is used to change the ligand of AgNPs. During ligand exchange, NH4OH was used to treat AgNPs. Through the treatment of NH4OH, the change of hydrophilic and hydrophobic properties of AgNPs was successfully realized. The sintering temperature of AgNPs can also be reduced and the properties can be improved. Finally, the applicability of the AgNPs sediment with this nano-sintering process at low temperature for obtaining conductive patterns was evaluated using PDMS as substrates.
目的本研究旨在开发一种简单的配体交换策略,以促进油胺(OAM)封端的银纳米粒子(AgNPs)的纳米烧结。通过与NH4OH的配体交换过程去除AgNP表面的OAM,本研究报告了有效降低AgNP的烧结温度以实现低温纳米烧结。与OAM封端的未经处理的AgNPs相比,NH4OH处理的AgNP具有优异的烧结性能,可作为导体应用于分数发生器器件,并有利于制造柔性电路模块。设计/方法/途径首先,以油胺为还原剂,采用简单的一步法制备单分散AgNPs。然后与NH4OH在不同处理时间进行配体交换以去除OAM,并应用微傅立叶变换红外光谱和接触角测试来阐明这些过程的机理和结构特征。最后,使用NH4OH处理的AgNPs沉积烧结在不同温度下测试电阻率,并使用非原位扫描电子显微镜结合原位X射线衍射来研究整个纳米烧结过程中微观结构的变化。发现AgNPs总是被有机配体封端,以防止纳米颗粒团聚。油胺作为还原剂可以合成8–32的理想尺寸分布 nm,具有单分散的球形,但油胺封端的AgNPs似乎无法实现低温纳米烧结,因为OAM是一种具有长C链的有机物。配体交换方法能够用低热稳定性的有机配体取代封端在AgNPs上的原始有机配体,这可以促进纳米烧结。配体交换处理后的AgNPs可以在相纸、聚二甲基硅氧烷(PDMS)和聚对苯二甲酸乙二醇酯柔性基板上低温烧结。原创性/价值在本研究中,使用配体交换的方法来改变AgNPs的配体。在配体交换过程中,NH4OH被用于处理AgNPs。通过NH4OH的处理,成功地实现了AgNPs亲水性和疏水性的改变。AgNPs的烧结温度也可以降低,并且性能可以得到改善。最后,使用PDMS作为基底,评估了这种低温纳米烧结工艺的AgNPs沉积物用于获得导电图案的适用性。
{"title":"Facile ligand-exchange strategy to promote low-temperature nano-sintering of oleylamine-capped Ag nanoparticles","authors":"Liyun Li, Yu Zhang, Shiyu Xia, Zhefei Sun, Junjie Yuan, D. Su, H. Cao, X. Chai, Qingtian Wang, Jintang Li, Zhihao Zhang","doi":"10.1108/mi-11-2022-0186","DOIUrl":"https://doi.org/10.1108/mi-11-2022-0186","url":null,"abstract":"\u0000Purpose\u0000This study aims to develop a facile ligand-exchange strategy to promote nano-sintering of oleylamine (OAM)-capped silver nanoparticles (AgNPs). By using ligand exchange process with NH4OH to remove OAM from the surface of AgNP, this study reports effectively reducing the sintering temperature of AgNPs to achieve low-temperature nano-sintering. Compared with untreated AgNPs of OAM-capped, NH4OH-treated AgNPs possess superior sintering performance that could be applied to a fractional generator device as conductor and in favour of the fabrication of flexible circuit modules.\u0000\u0000\u0000Design/methodology/approach\u0000First, oleylamine is used as reductant to synthesize monodisperse AgNPs by a simple one-step method. Then ligand exchange is used with NH4OH at different treating times to remove OAM, and micro-Fourier transform infrared spectroscopy and contact angle test are applied to clear the mechanism and structure characteristics of these processes. Finally, NH4OH-treated AgNPs sediment sintering is used at different temperatures to test electrical resistivity and use ex situ scanning electron microscopy combined with in situ X-ray diffraction to study changes in microstructure in the whole nano-sintering process.\u0000\u0000\u0000Findings\u0000The AgNPs are always capped by organic ligands to prevent nanoparticles agglomeration. And oleylamine used as reductant could synthesize desirable size distributions of 8–32 nm with monodisperse globular shapes, but the low-temperature nano-sintering seemed not to be achieved by the oleylamine-capped AgNPs because OAM is an organic with long C-chain. The ligand exchange approach was enabled to replace the original organic ligands capped on AgNPs with organic ligands of low thermal stability which could promote nano-sintering. After ligand exchange treated AgNPs could be sintered on photo paper, polydimethylsiloxane (PDMS) and polyethylene terephthalate flexible substrates at low temperature.\u0000\u0000\u0000Originality/value\u0000In this research, the method ligand exchange is used to change the ligand of AgNPs. During ligand exchange, NH4OH was used to treat AgNPs. Through the treatment of NH4OH, the change of hydrophilic and hydrophobic properties of AgNPs was successfully realized. The sintering temperature of AgNPs can also be reduced and the properties can be improved. Finally, the applicability of the AgNPs sediment with this nano-sintering process at low temperature for obtaining conductive patterns was evaluated using PDMS as substrates.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-01-16","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"48022541","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Effects of interface cracks on reliability of surface mount technology interconnection in service environment 服务环境下界面裂纹对表面安装技术互连可靠性的影响
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-01-12 DOI: 10.1108/mi-10-2022-0183
S. Liu, Songjie Yao, Song Xue, Benben Wang, Hui Jin, C. Pan, Yinwei Zhang, Yijiang Zhou, Rui Zeng, Lihao Ping, Z. Min, Daxing Zhang, Congsi Wang
PurposeSurface mount technology (SMT) is widely used and plays an important role in electronic equipment. The purpose of this paper is to reveal the effects of interface cracks on the fatigue life of SMT solder joint under service load and to provide some valuable reference information for improving service reliability of SMT packages.Design/methodology/approachA 3D geometric model of SMT package is established. The mechanical properties of SMT solder joint under thermal cycling load and random vibration load were solved by 3D finite element analysis. The fatigue life of SMT solder joint under different loads can be calculated by using the modified Coffin–Manson model and high-cycle fatigue model.FindingsThe results revealed that cracks at different locations and propagation directions have different effect on the fatigue life of the SMT solder joint. From the location of the cracks, Crack 1 has the most significant impact on the thermal fatigue life of the solder joint. Under the same thermal cycling conditions, its life has decreased by 46.98%, followed by Crack 2, Crack 4 and Crack 3. On the other hand, under the same random vibration load, Crack 4 has the most significant impact on the solder joint fatigue life, reducing its life by 81.39%, followed by Crack 1, Crack 3 and Crack 2. From the crack propagation direction, with the increase of crack depth, the thermal fatigue life of the SMT solder joint decreases sharply at first and then continues to decline almost linearly. The random vibration fatigue life of the solder joint decreases continuously with the increase of crack depth. From the crack depth of 0.01 mm to 0.05 mm, the random vibration fatigue life decreases by 86.75%. When the crack width increases, the thermal and random vibration fatigue life of the solder joint decreases almost linearly.Originality/valueThis paper investigates the effects of interface cracks on the fatigue life and provides useful information on the reliability of SMT packages.
表面贴装技术(SMT)在电子设备中应用广泛,发挥着重要作用。本文旨在揭示界面裂纹对SMT焊点在使用载荷下疲劳寿命的影响,为提高SMT封装的使用可靠性提供一些有价值的参考信息。设计/方法论/方法建立了SMT封装的三维几何模型。通过三维有限元分析,求解了SMT焊点在热循环载荷和随机振动载荷作用下的力学性能。使用改进的Coffin–Manson模型和高周疲劳模型可以计算SMT焊点在不同载荷下的疲劳寿命。结果表明,不同位置和扩展方向的裂纹对SMT焊点的疲劳寿命有不同的影响。从裂纹的位置来看,裂纹1对焊点的热疲劳寿命影响最大。在相同的热循环条件下,其寿命下降了46.98%,其次是裂纹2、裂纹4和裂纹3。另一方面,在相同的随机振动载荷下,裂纹4对焊点疲劳寿命的影响最为显著,其寿命降低了81.39%,其次是裂纹1、裂纹3和裂纹2。从裂纹扩展方向来看,随着裂纹深度的增加,SMT焊点的热疲劳寿命先急剧下降,然后几乎线性地继续下降。焊点的随机振动疲劳寿命随着裂纹深度的增加而连续下降。从裂缝深度0.01开始 mm至0.05 mm时,焊点的热疲劳寿命和随机振动疲劳寿命几乎呈线性下降。原创性/价值本文研究了界面裂纹对疲劳寿命的影响,并为SMT封装的可靠性提供了有用的信息。
{"title":"Effects of interface cracks on reliability of surface mount technology interconnection in service environment","authors":"S. Liu, Songjie Yao, Song Xue, Benben Wang, Hui Jin, C. Pan, Yinwei Zhang, Yijiang Zhou, Rui Zeng, Lihao Ping, Z. Min, Daxing Zhang, Congsi Wang","doi":"10.1108/mi-10-2022-0183","DOIUrl":"https://doi.org/10.1108/mi-10-2022-0183","url":null,"abstract":"\u0000Purpose\u0000Surface mount technology (SMT) is widely used and plays an important role in electronic equipment. The purpose of this paper is to reveal the effects of interface cracks on the fatigue life of SMT solder joint under service load and to provide some valuable reference information for improving service reliability of SMT packages.\u0000\u0000\u0000Design/methodology/approach\u0000A 3D geometric model of SMT package is established. The mechanical properties of SMT solder joint under thermal cycling load and random vibration load were solved by 3D finite element analysis. The fatigue life of SMT solder joint under different loads can be calculated by using the modified Coffin–Manson model and high-cycle fatigue model.\u0000\u0000\u0000Findings\u0000The results revealed that cracks at different locations and propagation directions have different effect on the fatigue life of the SMT solder joint. From the location of the cracks, Crack 1 has the most significant impact on the thermal fatigue life of the solder joint. Under the same thermal cycling conditions, its life has decreased by 46.98%, followed by Crack 2, Crack 4 and Crack 3. On the other hand, under the same random vibration load, Crack 4 has the most significant impact on the solder joint fatigue life, reducing its life by 81.39%, followed by Crack 1, Crack 3 and Crack 2. From the crack propagation direction, with the increase of crack depth, the thermal fatigue life of the SMT solder joint decreases sharply at first and then continues to decline almost linearly. The random vibration fatigue life of the solder joint decreases continuously with the increase of crack depth. From the crack depth of 0.01 mm to 0.05 mm, the random vibration fatigue life decreases by 86.75%. When the crack width increases, the thermal and random vibration fatigue life of the solder joint decreases almost linearly.\u0000\u0000\u0000Originality/value\u0000This paper investigates the effects of interface cracks on the fatigue life and provides useful information on the reliability of SMT packages.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-01-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"41970921","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Structural analysis of paper substrate for flexible microfluidics device application 用于柔性微流体装置的纸基板结构分析
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2023-01-12 DOI: 10.1108/mi-09-2022-0172
Supriya Yadav, Kulwant Singh, Anmol Gupta, Mahesh Kumar, N. N. Sharma, J. Akhtar
PurposeThe purpose of this paper is to predict a suitable paper substrate which has high capillary pressure with the tendency of subsequent fluid wrenching in onward direction for the fabrication of microfluidics device application.Design/methodology/approachThe experiment has been done on the WhatmanTM grade 1, WhatmanTM chromatography and nitrocellulose paper samples which are made by GE Healthcare Life Sciences. The structural characterization of paper samples for surface properties has been done by scanning electron microscope and ImageJ software. Identification of functional groups on the surface of samples has been done by Fourier transform infrared analysis. A finite elemental analysis has also been performed by using the “Multiphase Flow in Porous Media” module of the COMSOL Multiphysics tool which combines Darcy’s law and Phase Transport in Porous Media interface.FindingsExperimentally, it has been concluded that the paper substrate for flexible microfluidic device application must have large number of internal (intra- and interfiber) pores with fewer void spaces (external pores) that have high capillary pressure to propel the fluid in onward direction with narrow paper fiber channel.Originality/valueSurface structure has a dynamic impact in paper substrate utilization in multiple applications such as paper manufacturing, printing process and microfluidics applications.
目的本文的目的是预测一种合适的具有高毛细管压力的纸基板,该基板具有随后流体向前扭曲的趋势,用于微流体器件的制造应用。设计/方法/方法本实验是在GE Healthcare Life Sciences生产的WhatmanTM 1级、WhatmanTM色谱和硝化纤维素纸样品上进行的。通过扫描电子显微镜和ImageJ软件对纸张样品的表面性质进行了结构表征。通过傅立叶变换红外分析对样品表面的官能团进行了识别。还使用COMSOL Multiphysics工具的“多孔介质中的多相流动”模块进行了有限元分析,该模块结合了达西定律和多孔介质界面中的相输运。实验发现,用于柔性微流体装置应用的纸基板必须具有大量的内部(纤维内和纤维间)孔隙,这些孔隙具有较少的空隙空间(外部孔隙),这些空隙空间具有较高的毛细管压力,以通过狭窄的纸纤维通道将流体向前推进。独创性/价值表面结构在纸张制造、印刷工艺和微流体应用等多种应用中对纸张基材的利用具有动态影响。
{"title":"Structural analysis of paper substrate for flexible microfluidics device application","authors":"Supriya Yadav, Kulwant Singh, Anmol Gupta, Mahesh Kumar, N. N. Sharma, J. Akhtar","doi":"10.1108/mi-09-2022-0172","DOIUrl":"https://doi.org/10.1108/mi-09-2022-0172","url":null,"abstract":"\u0000Purpose\u0000The purpose of this paper is to predict a suitable paper substrate which has high capillary pressure with the tendency of subsequent fluid wrenching in onward direction for the fabrication of microfluidics device application.\u0000\u0000\u0000Design/methodology/approach\u0000The experiment has been done on the WhatmanTM grade 1, WhatmanTM chromatography and nitrocellulose paper samples which are made by GE Healthcare Life Sciences. The structural characterization of paper samples for surface properties has been done by scanning electron microscope and ImageJ software. Identification of functional groups on the surface of samples has been done by Fourier transform infrared analysis. A finite elemental analysis has also been performed by using the “Multiphase Flow in Porous Media” module of the COMSOL Multiphysics tool which combines Darcy’s law and Phase Transport in Porous Media interface.\u0000\u0000\u0000Findings\u0000Experimentally, it has been concluded that the paper substrate for flexible microfluidic device application must have large number of internal (intra- and interfiber) pores with fewer void spaces (external pores) that have high capillary pressure to propel the fluid in onward direction with narrow paper fiber channel.\u0000\u0000\u0000Originality/value\u0000Surface structure has a dynamic impact in paper substrate utilization in multiple applications such as paper manufacturing, printing process and microfluidics applications.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2023-01-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"48023664","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Recent progress on bumpless Cu/SiO2 hybrid bonding for 3D heterogeneous integration 三维非均相集成无凹凸铜/SiO2杂化键合研究进展
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2022-12-27 DOI: 10.1108/mi-07-2022-0121
Ge Li, Qiushi Kang, F. Niu, Chenxi Wang
PurposeBumpless Cu/SiO2 hybrid bonding, which this paper aims to, is a key technology of three-dimensional (3D) high-density integration to promote the integrated circuits industry’s continuous development, which achieves the stacks of chips vertically connected via through-silicon via. Surface-activated bonding (SAB) and thermal-compression bonding (TCB) are used, but both have some shortcomings. The SAB method is overdemanding in the bonding environment, and the TCB method requires a high temperature to remove copper oxide from surfaces, which increases the thermal budget and grossly damages the fine-pitch device.Design/methodology/approachIn this review, methods to prevent and remove copper oxidation in the whole bonding process for a lower bonding temperature, such as wet treatment, plasma surface activation, nanotwinned copper and the metal passivation layer, are investigated.FindingsThe cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture. Cu/SiO2 hybrid bonding has great potential to effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips.Originality/valueTo achieve heterogeneous bonding at a lower temperature, the SAB method, chemical treatment and the plasma-assisted bonding method (based on TCB) are used, and surface-enhanced measurements such as nanotwinned copper and the metal passivation layer are also applied to prevent surface copper oxide.
目的本文所研究的无凸块Cu/SiO2混合键合是促进集成电路行业持续发展的三维高密度集成的关键技术,它实现了芯片堆叠通过硅过孔垂直连接。表面活性结合(SAB)和热压结合(TCB)被使用,但两者都有一些缺点。SAB方法在结合环境中要求过高,而TCB方法需要高温才能从表面去除氧化铜,这增加了热预算并严重损坏了细间距器件。设计/方法/方法在这篇综述中,研究了在较低的键合温度下,在整个键合过程中防止和去除铜氧化的方法,如湿法处理、等离子体表面活化、纳米孪晶铜和金属钝化层。发现湿法处理和等离子体活化相结合的协同键合方法显示出突出的技术优势,而在制造中不需要高成本和额外的铜钝化。Cu/SiO2混合键合在未来人工智能、物联网和其他高密度芯片的3D封装中具有有效提高集成密度的巨大潜力。独创性/价值为了在较低的温度下实现异质键合,使用了SAB方法、化学处理和等离子体辅助键合方法(基于TCB),还应用了表面增强测量,如纳米孪晶铜和金属钝化层,以防止表面氧化铜。
{"title":"Recent progress on bumpless Cu/SiO2 hybrid bonding for 3D heterogeneous integration","authors":"Ge Li, Qiushi Kang, F. Niu, Chenxi Wang","doi":"10.1108/mi-07-2022-0121","DOIUrl":"https://doi.org/10.1108/mi-07-2022-0121","url":null,"abstract":"\u0000Purpose\u0000Bumpless Cu/SiO2 hybrid bonding, which this paper aims to, is a key technology of three-dimensional (3D) high-density integration to promote the integrated circuits industry’s continuous development, which achieves the stacks of chips vertically connected via through-silicon via. Surface-activated bonding (SAB) and thermal-compression bonding (TCB) are used, but both have some shortcomings. The SAB method is overdemanding in the bonding environment, and the TCB method requires a high temperature to remove copper oxide from surfaces, which increases the thermal budget and grossly damages the fine-pitch device.\u0000\u0000\u0000Design/methodology/approach\u0000In this review, methods to prevent and remove copper oxidation in the whole bonding process for a lower bonding temperature, such as wet treatment, plasma surface activation, nanotwinned copper and the metal passivation layer, are investigated.\u0000\u0000\u0000Findings\u0000The cooperative bonding method combining wet treatment and plasma activation shows outstanding technological superiority without the high cost and additional necessity of copper passivation in manufacture. Cu/SiO2 hybrid bonding has great potential to effectively enhance the integration density in future 3D packaging for artificial intelligence, the internet of things and other high-density chips.\u0000\u0000\u0000Originality/value\u0000To achieve heterogeneous bonding at a lower temperature, the SAB method, chemical treatment and the plasma-assisted bonding method (based on TCB) are used, and surface-enhanced measurements such as nanotwinned copper and the metal passivation layer are also applied to prevent surface copper oxide.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2022-12-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"42068169","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A high performance RC-INV triggering SCR under 0.25 µm process 0.25µm工艺下的高性能RC-INV触发SCR
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2022-12-13 DOI: 10.1108/mi-04-2022-0069
Xuebing Su, Yang Wang, Xiangliang Jin, Hongjiao Yang, Yuye Zhang, Shuaikang Yang, Bo Yu
PurposeAs it is known, the electrostatic discharge (ESD) protection design of integrated circuit is very important, among which the silicon controlled rectifier (SCR) is one of the most commonly used ESD protection devices. However, the traditional SCR has the disadvantages of too high trigger voltage, too low holding voltage after the snapback and longer turn-on time. The purpose of this paper is to design a high-performance SCR in accordance with the design window under 0.25 µm process, and provide a new scheme for SCR design to reduce the trigger voltage, improve the holding voltage and reduce the turn-on time.Design/methodology/approachBased on the traditional SCR, an RC-INV trigger circuit is introduced. Through theoretical analysis, TCAD simulation and tape-out verification, it is shown that RC-INV triggering SCR can reduce the trigger voltage, increase the holding voltage and reduce the turn-on time of the device on the premise of maintaining good robustness.FindingsThe RC-INV triggering SCR has great performance, and the test shows that the transmission line pulse curve with almost no snapback can be obtained. Compared with the traditional SCR, the trigger voltage decreased from 32.39 to 16.24 V, the holding voltage increased from 3.12 to 14.18 V and the turn-on time decreased from 29.6 to 16.6 ns, decreasing by 43.9% the level of human body model reached 18 kV+.Originality/valueUnder 0.25 µm BCD process, this study propose a high-performance RC-INV triggering SCR ESD protection device. The work presented in this paper has a certain guiding significance for the design of SCR ESD protection devices.
目的众所周知,集成电路的静电放电(ESD)保护设计非常重要,其中可控硅(SCR)是最常用的ESD保护器件之一。但是传统的可控硅存在触发电压过高、回跳后保持电压过低、导通时间过长的缺点。本文的目的是按照0.25µm工艺下的设计窗口设计高性能的可控硅,为降低触发电压、提高保持电压和缩短导通时间的可控硅设计提供一种新的方案。在传统可控硅的基础上,介绍了一种RC-INV触发电路。通过理论分析、TCAD仿真和带出验证表明,RC-INV触发可控硅在保持良好鲁棒性的前提下,可以降低触发电压,提高保持电压,缩短器件的导通时间。结果RC-INV触发可控硅具有良好的性能,测试表明可以获得几乎无回跳的传输线脉冲曲线。与传统可控硅相比,触发电压从32.39 V降低到16.24 V,保持电压从3.12 V提高到14.18 V,导通时间从29.6 ns降低到16.6 ns,与人体模型达到18 kV+的水平相比降低了43.9%。在0.25µm BCD工艺下,本研究提出了一种高性能RC-INV触发可控硅ESD保护装置。本文所做的工作对可控硅ESD保护器件的设计具有一定的指导意义。
{"title":"A high performance RC-INV triggering SCR under 0.25 µm process","authors":"Xuebing Su, Yang Wang, Xiangliang Jin, Hongjiao Yang, Yuye Zhang, Shuaikang Yang, Bo Yu","doi":"10.1108/mi-04-2022-0069","DOIUrl":"https://doi.org/10.1108/mi-04-2022-0069","url":null,"abstract":"\u0000Purpose\u0000As it is known, the electrostatic discharge (ESD) protection design of integrated circuit is very important, among which the silicon controlled rectifier (SCR) is one of the most commonly used ESD protection devices. However, the traditional SCR has the disadvantages of too high trigger voltage, too low holding voltage after the snapback and longer turn-on time. The purpose of this paper is to design a high-performance SCR in accordance with the design window under 0.25 µm process, and provide a new scheme for SCR design to reduce the trigger voltage, improve the holding voltage and reduce the turn-on time.\u0000\u0000\u0000Design/methodology/approach\u0000Based on the traditional SCR, an RC-INV trigger circuit is introduced. Through theoretical analysis, TCAD simulation and tape-out verification, it is shown that RC-INV triggering SCR can reduce the trigger voltage, increase the holding voltage and reduce the turn-on time of the device on the premise of maintaining good robustness.\u0000\u0000\u0000Findings\u0000The RC-INV triggering SCR has great performance, and the test shows that the transmission line pulse curve with almost no snapback can be obtained. Compared with the traditional SCR, the trigger voltage decreased from 32.39 to 16.24 V, the holding voltage increased from 3.12 to 14.18 V and the turn-on time decreased from 29.6 to 16.6 ns, decreasing by 43.9% the level of human body model reached 18 kV+.\u0000\u0000\u0000Originality/value\u0000Under 0.25 µm BCD process, this study propose a high-performance RC-INV triggering SCR ESD protection device. The work presented in this paper has a certain guiding significance for the design of SCR ESD protection devices.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2022-12-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"47457435","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Defected microstrip structure-based near-end and far-end crosstalk mitigation in high-speed PCBs for mixed signals 基于缺陷微带结构的混合信号高速pcb近端和远端串扰抑制
IF 1.1 4区 工程技术 Q3 Engineering Pub Date : 2022-12-07 DOI: 10.1108/mi-05-2022-0089
Y. V., G. Alsath, M. Kanagasabai
PurposeThe design, fabrication and experimental validation of defected microstrip structure (DMS) are proposed to address the problem of near-end crosstalk (NEXT) and far-end crosstalk (FEXT) between the microstrip transmission lines in a printed circuit board.Design/methodology/approachThe proposed DMS evolved with the combination of spur line (L-shaped DMS) and U-shaped DMS topologies. This technique reduces the strength of electromagnetic coupling and suppresses crosstalk by optimizing the capacitive and inductive coupling ratio between the linked microstrip lines. The practical inductance value is much more significant in DMS than in defected ground structures (DGS), but the capacitance value remains the same.FindingsA DMS unit is etched on the aggressor microstrip line instead of the DGS circuit. Because there is no leakage via the ground plane and the circuit size is far smaller than with DGS, the enclosure issue is disregarded. DMS structures have a larger effective inductance and are resistant to electromagnetic interference. A tightly coupled transmission line structure with minimal separation between the coupled microstrip line is designed using DMS. Further research must be conducted to improve the NEXT, FEXT and spacing between the transmission lines.Originality/valueSimulation and actual measurement results show that the proposed DMS structure can effectively suppress crosstalk by analysing the S-parameters, namely, S_12, S_13 and S_14, with measured values of 1.48 dB, 20.65 dB and 21.099 dB, respectively. The data rate is measured to be 1.34 Gbps as per the eye diagram characterization. The results show that the NEXT and FEXT are reduced by approximately 20 dB in the frequency range of 1–11 GHz for mixed signals. The substantial measured results in the vector network analyser coincide with the computer simulation technology microwave studio suite simulation results.
目的为了解决印刷电路板中微带传输线之间的近端串扰(NEXT)和远端串扰(FEXT)问题,提出了缺陷微带结构(DMS)的设计、制造和实验验证。设计/方法/方法拟议的DMS结合了支线(L形DMS)和U形DMS拓扑结构。该技术通过优化连接的微带线之间的电容和电感耦合比来降低电磁耦合强度并抑制串扰。与缺陷接地结构(DGS)相比,DMS中的实际电感值要显著得多,但电容值保持不变。发现DMS单元被蚀刻在侵略微带线上而不是DGS电路上。由于接地平面没有泄漏,且电路尺寸远小于DGS,因此忽略了外壳问题。DMS结构具有更大的有效电感并且抗电磁干扰。使用DMS设计了一种耦合微带线之间间隔最小的紧密耦合传输线结构。必须进行进一步的研究以改善NEXT、FEXT和传输线之间的间距。原创性/价值仿真和实际测量结果表明,通过分析S参数S_12、S_13和S_14,所提出的DMS结构可以有效地抑制串扰,测量值为1.48 dB,20.65 dB和21.099 dB。根据眼图特征,数据速率被测量为1.34Gbps。结果表明,NEXT和FEXT减少了大约20 频率范围为1-11的dB GHz用于混合信号。矢量网络分析仪中的大量测量结果与计算机模拟技术微波工作室套件的模拟结果一致。
{"title":"Defected microstrip structure-based near-end and far-end crosstalk mitigation in high-speed PCBs for mixed signals","authors":"Y. V., G. Alsath, M. Kanagasabai","doi":"10.1108/mi-05-2022-0089","DOIUrl":"https://doi.org/10.1108/mi-05-2022-0089","url":null,"abstract":"\u0000Purpose\u0000The design, fabrication and experimental validation of defected microstrip structure (DMS) are proposed to address the problem of near-end crosstalk (NEXT) and far-end crosstalk (FEXT) between the microstrip transmission lines in a printed circuit board.\u0000\u0000\u0000Design/methodology/approach\u0000The proposed DMS evolved with the combination of spur line (L-shaped DMS) and U-shaped DMS topologies. This technique reduces the strength of electromagnetic coupling and suppresses crosstalk by optimizing the capacitive and inductive coupling ratio between the linked microstrip lines. The practical inductance value is much more significant in DMS than in defected ground structures (DGS), but the capacitance value remains the same.\u0000\u0000\u0000Findings\u0000A DMS unit is etched on the aggressor microstrip line instead of the DGS circuit. Because there is no leakage via the ground plane and the circuit size is far smaller than with DGS, the enclosure issue is disregarded. DMS structures have a larger effective inductance and are resistant to electromagnetic interference. A tightly coupled transmission line structure with minimal separation between the coupled microstrip line is designed using DMS. Further research must be conducted to improve the NEXT, FEXT and spacing between the transmission lines.\u0000\u0000\u0000Originality/value\u0000Simulation and actual measurement results show that the proposed DMS structure can effectively suppress crosstalk by analysing the S-parameters, namely, S_12, S_13 and S_14, with measured values of 1.48 dB, 20.65 dB and 21.099 dB, respectively. The data rate is measured to be 1.34 Gbps as per the eye diagram characterization. The results show that the NEXT and FEXT are reduced by approximately 20 dB in the frequency range of 1–11 GHz for mixed signals. The substantial measured results in the vector network analyser coincide with the computer simulation technology microwave studio suite simulation results.\u0000","PeriodicalId":49817,"journal":{"name":"Microelectronics International","volume":null,"pages":null},"PeriodicalIF":1.1,"publicationDate":"2022-12-07","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"45412372","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":4,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Microelectronics International
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1