首页 > 最新文献

Integration-The Vlsi Journal最新文献

英文 中文
A novel tunable capacitively-copuled instrumentation amplifier with 14.4 nV/ √(H z) noise and 190.47 nW micro-power for ECG applications 用于心电图应用的新型可调电容表列仪表放大器,噪声为 14.4 nV/√(H z),微功率为 190.47 nW
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-30 DOI: 10.1016/j.vlsi.2024.102268

This paper describes a low-power, low-noise capacitively-coupled instrumentation amplifier (CCIA) designed for capturing biopotential signals. The main advantage of proposed design are as (i) CCIA based on new IA has been proposed, (ii) the lower cutoff frequency has been improved by adding MOS based resistor, (iii) gm enhancement circuit is added in operational transconductance amplifier (OTA) based fully differential difference amplifier (FDDA)to improve gain and bandwidth. The DC electrode-offset voltage is reduced and the input impedance is increased by using feedback mechanism. Cadence EDA tool is used to analyze the findings of the proposed CCIA's in 0.18 μm, CMOS technology with a 1.8 V power supply. The proposed CCIA architecture has an adjustable mid-band gain from 52.55 to 61.11 dB for bias voltage ranges from 0.1 to 0.6 V, frequency range of 0.06 Hz–1.72 kHz, and a CMRR of 122 dB. The proposed CCIA has a total power dissipation of 190.47 nW and equivalent input referred noise (IRN) of 14.4 nV/sqrtHz at 0.01 Hz. It only occupies 0.01 mm2 of core area. To assess the robustness of suggested design, PVT analysis, post layout simulation and a comparison with previously published works demonstrates the competence of the design.

本文介绍了为捕捉生物电位信号而设计的低功耗、低噪声电容耦合仪表放大器(CCIA)。该设计的主要优点包括:(i) 基于新型 IA 的 CCIA 已被提出;(ii) 通过添加基于 MOS 的电阻器提高了较低的截止频率;(iii) 在基于运算跨导放大器(OTA)的全差分差动放大器(FDDA)中添加了 gm 增强电路,以提高增益和带宽。通过使用反馈机制,降低了直流电去抵消电压,增加了输入阻抗。使用 Cadence EDA 工具分析了在 0.18 μm CMOS 技术和 1.8 V 电源条件下开发的 CCIA 的结果。在偏置电压范围为 0.1 至 0.6 V、频率范围为 0.06 Hz-1.72 kHz、CMRR 为 122 dB 时,拟议的 CCIA 架构具有 52.55 至 61.11 dB 的可调中频增益。拟议的 CCIA 的总功耗为 190.47 nW,0.01 Hz 时的等效输入参考噪声 (IRN) 为 14.4 nV/sqrtHz。它仅占用 0.01 平方毫米的内核面积。为了评估所建议设计的稳健性,我们进行了 PVT 分析、布局后仿真,并与以前发表的作品进行了比较,从而证明了该设计的能力。
{"title":"A novel tunable capacitively-copuled instrumentation amplifier with 14.4 nV/ √(H z) noise and 190.47 nW micro-power for ECG applications","authors":"","doi":"10.1016/j.vlsi.2024.102268","DOIUrl":"10.1016/j.vlsi.2024.102268","url":null,"abstract":"<div><p>This paper describes a low-power, low-noise capacitively-coupled instrumentation amplifier (CCIA) designed for capturing biopotential signals. The main advantage of proposed design are as (i) CCIA based on new IA has been proposed, (ii) the lower cutoff frequency has been improved by adding MOS based resistor, (iii) g<sub>m</sub> enhancement circuit is added in operational transconductance amplifier (OTA) based fully differential difference amplifier (FDDA)to improve gain and bandwidth. The DC electrode-offset voltage is reduced and the input impedance is increased by using feedback mechanism. Cadence EDA tool is used to analyze the findings of the proposed CCIA's in 0.18 μm, CMOS technology with a 1.8 V power supply. The proposed CCIA architecture has an adjustable mid-band gain from 52.55 to 61.11 dB for bias voltage ranges from 0.1 to 0.6 V, frequency range of 0.06 Hz–1.72 kHz, and a CMRR of 122 dB. The proposed CCIA has a total power dissipation of 190.47 nW and equivalent input referred noise (IRN) of 14.4 nV/sqrtHz at 0.01 Hz. It only occupies 0.01 mm<sup>2</sup> of core area. To assess the robustness of suggested design, PVT analysis, post layout simulation and a comparison with previously published works demonstrates the competence of the design.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-30","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142128398","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Experimental analysis of irregularly shaped octagonal on-chip inductors for improving area-efficiency in CMOS RFICs for millimeter wave applications 用于提高毫米波应用 CMOS 射频集成电路面积效率的不规则八边形片上电感器实验分析
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-24 DOI: 10.1016/j.vlsi.2024.102259

This article deals with the analysis of irregularly shaped single turn octagonal spiral inductors for millimeter-wave and sub-THz CMOS IC designs. Simulations and experimental results, along with theoretical formulations, are used to characterize these irregular structures. This article proposes a novel approach for efficient use of silicon chip area by reshaping the on-chip inductors used in millimeter wave (mm-wave) applications without compromising the performance of the inductors. Especially in CMOS RFICs when a space constraint exists in either X- or Y-direction in their layout, such reshaping can be attempted. Moreover, two novel methods of reshaping the inductors are proposed and studied thoroughly. The study of these irregular shapes has interesting conclusions, which are validated through on-wafer measurements. Certain methods of reshaping result in inductors which do not have degradation in their quality factors (Q), while other approaches degrade the Q. Based on these insights, a design methodology is proposed for designers who need to reshape their inductors to irregular structures while not compromising on the quality factor. The measurement results agree with the simulations and prove that the proposed reshaping is practically possible.

本文分析了用于毫米波和超高频 CMOS 集成电路设计的不规则形状单匝八角螺旋电感器。仿真和实验结果以及理论公式被用来描述这些不规则结构的特征。本文提出了一种新方法,通过重塑毫米波(mm-wave)应用中使用的片上电感器,在不影响电感器性能的前提下有效利用硅芯片面积。特别是在 CMOS 射频集成电路中,当其布局的 X 或 Y 方向存在空间限制时,可以尝试这种重塑方法。此外,我们还提出并深入研究了两种重塑电感器的新方法。对这些不规则形状的研究得出了有趣的结论,并通过晶圆上的测量进行了验证。某些重塑方法会导致电感器的品质因数(Q 值)不降低,而其他方法则会降低 Q 值。基于这些见解,我们为需要将电感器重塑为不规则结构同时又不影响品质因数的设计人员提出了一种设计方法。测量结果与模拟结果一致,证明所提出的重塑方法切实可行。
{"title":"Experimental analysis of irregularly shaped octagonal on-chip inductors for improving area-efficiency in CMOS RFICs for millimeter wave applications","authors":"","doi":"10.1016/j.vlsi.2024.102259","DOIUrl":"10.1016/j.vlsi.2024.102259","url":null,"abstract":"<div><p>This article deals with the analysis of irregularly shaped single turn octagonal spiral inductors for millimeter-wave and sub-THz CMOS IC designs. Simulations and experimental results, along with theoretical formulations, are used to characterize these irregular structures. This article proposes a novel approach for efficient use of silicon chip area by reshaping the on-chip inductors used in millimeter wave (mm-wave) applications without compromising the performance of the inductors. Especially in CMOS RFICs when a space constraint exists in either <span><math><mi>X</mi></math></span>- or <span><math><mi>Y</mi></math></span>-direction in their layout, such reshaping can be attempted. Moreover, two novel methods of reshaping the inductors are proposed and studied thoroughly. The study of these irregular shapes has interesting conclusions, which are validated through on-wafer measurements. Certain methods of reshaping result in inductors which do not have degradation in their quality factors (<span><math><mi>Q</mi></math></span>), while other approaches degrade the <span><math><mi>Q</mi></math></span>. Based on these insights, a design methodology is proposed for designers who need to reshape their inductors to irregular structures while not compromising on the quality factor. The measurement results agree with the simulations and prove that the proposed reshaping is practically possible.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-24","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142095436","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A fast test compaction method using dedicated Pure MaxSAT solver embedded in DFT flow 使用嵌入 DFT 流程的专用 Pure MaxSAT 求解器的快速测试压实方法
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-22 DOI: 10.1016/j.vlsi.2024.102265

Minimizing the testing cost is crucial in the context of the design for test (DFT) flow. In our observation, the test patterns generated by ATPG tools in test compression mode still contain redundancy. To tackle this obstacle, we propose a post-flow static test compaction method that utilizes a partial fault dictionary instead of a full fault dictionary to sharply reduce time and memory overhead, and leverages a dedicated Pure MaxSAT solver to re-compact the test patterns generated by ATPG tools. We also observe that ATPG tools offer a more comprehensive selection of candidate patterns for compaction in the “n-detect” mode, leading to superior compaction efficiency. In our experiments conducted on benchmark circuits ISCAS89, ITC99, and an open-source RISC-V CPU, we employed two methodologies. For commercial tool, we utilized a non-intrusive approach, while we adopted an intrusive method for open-source ATPG. Under the non-intrusive approach, our method achieved a maximum reduction of 34.69% in pattern count and a maximum 29.80% decrease in test cycles as evaluated by a leading commercial tool. Meanwhile, under the intrusive approach, our method attained a maximum 71.90% reduction in pattern count as evaluated by an open-source ATPG tool. Notably, fault coverage remained unchanged throughout the experiments. Furthermore, our approach demonstrates improved performance compared with existing methods.

在测试设计(DFT)流程中,测试成本最小化至关重要。根据我们的观察,ATPG 工具在测试压缩模式下生成的测试模式仍包含冗余。为了解决这一障碍,我们提出了一种流程后静态测试压缩方法,利用部分故障字典而不是完整故障字典来大幅减少时间和内存开销,并利用专用的纯 MaxSAT 求解器来重新压缩 ATPG 工具生成的测试模式。我们还发现,在 "n-检测 "模式下,ATPG 工具能提供更全面的压缩候选模式选择,从而实现更高的压缩效率。在对基准电路 ISCAS89、ITC99 和开源 RISC-V CPU 进行的实验中,我们采用了两种方法。对于商业工具,我们采用了非侵入式方法,而对于开源 ATPG,我们采用了侵入式方法。在非侵入式方法下,我们的方法最大减少了 34.69% 的模式数,并在领先商业工具的评估中最大减少了 29.80% 的测试周期。与此同时,在侵入式方法下,根据一款开源 ATPG 工具的评估,我们的方法最多减少了 71.90% 的模式数。值得注意的是,故障覆盖率在整个实验过程中保持不变。此外,与现有方法相比,我们的方法表现出更高的性能。
{"title":"A fast test compaction method using dedicated Pure MaxSAT solver embedded in DFT flow","authors":"","doi":"10.1016/j.vlsi.2024.102265","DOIUrl":"10.1016/j.vlsi.2024.102265","url":null,"abstract":"<div><p>Minimizing the testing cost is crucial in the context of the design for test (DFT) flow. In our observation, the test patterns generated by ATPG tools in test compression mode still contain redundancy. To tackle this obstacle, we propose a post-flow static test compaction method that utilizes a partial fault dictionary instead of a full fault dictionary to sharply reduce time and memory overhead, and leverages a dedicated Pure MaxSAT solver to re-compact the test patterns generated by ATPG tools. We also observe that ATPG tools offer a more comprehensive selection of candidate patterns for compaction in the “n-detect” mode, leading to superior compaction efficiency. In our experiments conducted on benchmark circuits ISCAS89, ITC99, and an open-source RISC-V CPU, we employed two methodologies. For commercial tool, we utilized a non-intrusive approach, while we adopted an intrusive method for open-source ATPG. Under the non-intrusive approach, our method achieved a maximum reduction of 34.69% in pattern count and a maximum 29.80% decrease in test cycles as evaluated by a leading commercial tool. Meanwhile, under the intrusive approach, our method attained a maximum 71.90% reduction in pattern count as evaluated by an open-source ATPG tool. Notably, fault coverage remained unchanged throughout the experiments. Furthermore, our approach demonstrates improved performance compared with existing methods.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142095435","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Clock mesh synthesis through dynamic programming with physical parameters consideration 通过考虑物理参数的动态编程合成时钟网格
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-19 DOI: 10.1016/j.vlsi.2024.102261

In response to the evolving technological landscape, the traditional clock network architecture faces challenges in meeting the complexities of modern System-on-Chip (SoC) designs. While the clock mesh topology offers resilience against On-Chip Variation (OCV) fluctuations, its manual implementation leaves room for advancements in methodology and swift analytical techniques. This paper introduces an innovative clock mesh synthesis approach, leveraging dynamic programming algorithms and emphasizing compliance with critical physical implementation parameters. Our experimental results demonstrate a significant 26.6% reduction in power consumption compared to baseline methodologies. Moreover, it achieves an impressive average runtime reduction of 78.0% when contrasted with traditional simulation methods. These findings underscore the potential of our methodology to enhance the efficiency and power management of clock mesh designs.

随着技术的不断发展,传统的时钟网络架构在应对现代片上系统(SoC)设计的复杂性方面面临着挑战。虽然时钟网状拓扑结构能抵御片上变化 (OCV) 波动,但其手动实现方法仍有待改进,分析技术也有待提高。本文介绍了一种创新的时钟网格合成方法,它利用动态编程算法,强调符合关键的物理实现参数。我们的实验结果表明,与基准方法相比,功耗大幅降低了 26.6%。此外,与传统模拟方法相比,该方法的平均运行时间缩短了 78.0%,令人印象深刻。这些发现凸显了我们的方法在提高时钟网格设计的效率和电源管理方面的潜力。
{"title":"Clock mesh synthesis through dynamic programming with physical parameters consideration","authors":"","doi":"10.1016/j.vlsi.2024.102261","DOIUrl":"10.1016/j.vlsi.2024.102261","url":null,"abstract":"<div><p>In response to the evolving technological landscape, the traditional clock network architecture faces challenges in meeting the complexities of modern System-on-Chip (SoC) designs. While the clock mesh topology offers resilience against On-Chip Variation (OCV) fluctuations, its manual implementation leaves room for advancements in methodology and swift analytical techniques. This paper introduces an innovative clock mesh synthesis approach, leveraging dynamic programming algorithms and emphasizing compliance with critical physical implementation parameters. Our experimental results demonstrate a significant 26.6% reduction in power consumption compared to baseline methodologies. Moreover, it achieves an impressive average runtime reduction of 78.0% when contrasted with traditional simulation methods. These findings underscore the potential of our methodology to enhance the efficiency and power management of clock mesh designs.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142095548","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Pre-route timing prediction and optimization with graph neural network models 利用图神经网络模型进行预路由时序预测和优化
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-19 DOI: 10.1016/j.vlsi.2024.102262

In recent years, the application of deep learning (DL) models has sparked considerable interest in timing prediction within the place-and-route (P&R) flow of IC chip design. Specifically, at the pre-route stage, an accurate prediction of post-route timing is challenging due to the lack of sufficient physical information. However, achieving precise timing prediction significantly accelerates the design closure process, saving considerable time and effort. In this work, we propose pre-route timing prediction and optimization framework with graph neural network (GNN) models combined with convolution neural network (CNN). Our framework is divided into two main stages, each of which is further subdivided into smaller steps. Precisely, our GNN-driven arc delay/slew prediction model is divided into two levels: in level-1, it predicts net resistance (net R) and net capacitance (net C) using GNN while the arc length is predicted using CNN. These predictions are hierarchically passed on to level-2 where delay/slew is estimated with our GNN based prediction model. The timing optimization model utilizes the precise delay/slew predictions obtained from the GNN-driven prediction model to accurately set the path margin during the timing optimization stage. This approach effectively reduces unnecessary turn-around iterations in the commercial EDA tools. Experimental results show that by using our proposed framework in P&R, we are able to improve the pre-route prediction accuracy by 42%/36% on average on arc delay/slew, and improve timing metrics in terms of WNS, TNS, and the number of timing violation paths by 77%, 77%, and 64%, which are an increase of 32%/35% on arc delay/slew and 30%, 20% and 31% on timing optimization compared with the existing DL prediction model.

近年来,深度学习(DL)模型的应用引发了人们对集成电路芯片设计的布线(P&R)流程中时序预测的极大兴趣。具体来说,在预布线阶段,由于缺乏足够的物理信息,准确预测布线后时序具有挑战性。然而,实现精确的时序预测可大大加快设计关闭流程,节省大量时间和精力。在这项工作中,我们利用图神经网络 (GNN) 模型结合卷积神经网络 (CNN) 提出了路由前时序预测和优化框架。我们的框架分为两个主要阶段,每个阶段又进一步细分为更小的步骤。确切地说,我们的 GNN 驱动弧延迟/回扫预测模型分为两个层次:在第一层,它使用 GNN 预测净电阻(net R)和净电容(net C),同时使用 CNN 预测弧长度。这些预测结果分层传递到第二层,在第二层中,使用基于 GNN 的预测模型估算延迟/弧长。时序优化模型利用从 GNN 驱动的预测模型中获得的精确延迟/回转预测,在时序优化阶段精确设置路径余量。这种方法有效减少了商业 EDA 工具中不必要的周转迭代。实验结果表明,通过在 P&R 中使用我们提出的框架,与现有的 DL 预测模型相比,我们能够将弧形延迟/回旋的预路由预测精度平均提高 42%/36%,并将 WNS、TNS 和时序违规路径数量等时序指标分别提高 77%、77% 和 64%,其中弧形延迟/回旋提高 32%/35%,时序优化提高 30%、20% 和 31%。
{"title":"Pre-route timing prediction and optimization with graph neural network models","authors":"","doi":"10.1016/j.vlsi.2024.102262","DOIUrl":"10.1016/j.vlsi.2024.102262","url":null,"abstract":"<div><p>In recent years, the application of deep learning (DL) models has sparked considerable interest in timing prediction within the place-and-route (P&amp;R) flow of IC chip design. Specifically, at the pre-route stage, an accurate prediction of post-route timing is challenging due to the lack of sufficient physical information. However, achieving precise timing prediction significantly accelerates the design closure process, saving considerable time and effort. In this work, we propose pre-route timing prediction and optimization framework with graph neural network (GNN) models combined with convolution neural network (CNN). Our framework is divided into two main stages, each of which is further subdivided into smaller steps. Precisely, our GNN-driven arc delay/slew prediction model is divided into two levels: in level-1, it predicts net resistance (net R) and net capacitance (net C) using GNN while the arc length is predicted using CNN. These predictions are hierarchically passed on to level-2 where delay/slew is estimated with our GNN based prediction model. The timing optimization model utilizes the precise delay/slew predictions obtained from the GNN-driven prediction model to accurately set the path margin during the timing optimization stage. This approach effectively reduces unnecessary turn-around iterations in the commercial EDA tools. Experimental results show that by using our proposed framework in P&amp;R, we are able to improve the pre-route prediction accuracy by 42%/36% on average on arc delay/slew, and improve timing metrics in terms of WNS, TNS, and the number of timing violation paths by 77%, 77%, and 64%, which are an increase of 32%/35% on arc delay/slew and 30%, 20% and 31% on timing optimization compared with the existing DL prediction model.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-19","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142044946","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A fast and high-performance global router with enhanced congestion control 快速、高性能的全局路由器,具有增强的拥塞控制功能
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-17 DOI: 10.1016/j.vlsi.2024.102263

In global routing, congestion and running time are the key factors that affect the quality of the solution. With the rapid growth of integrated chip scale, striking a balance between running time and congestion has become a bottleneck in improving design quality. In this paper, we propose a highly efficient and effective global router to address this challenge. We first propose an efficient R-tree-based compatible routing region partitioning algorithm for collecting routable regions, which offers robust support for ideal parallel routing scheduling. Then, taking into account the effect of the barrel effect on congestion evaluation and the detrimental impact of loops, a congestion-driven initial parallel routing scheme is proposed to enhance routability in the triaxial pattern routing structure. After that, we develop an accurate congestion estimation model and an optimized path-searching scheme, which are instrumental in effectively managing smaller congestion gradient variations and guiding efficient congestion reduction. We evaluate the performance of our algorithm on the ISPD 2018 and ISPD 2019 contest benchmark suites and compare it with the state-of-the-art work. Experimental results show that our proposed algorithm significantly reduces 71% overflows, improving 65% running time, and the total wirelength is even smaller.

在全局路由过程中,拥塞和运行时间是影响解决方案质量的关键因素。随着集成芯片规模的快速增长,如何在运行时间和拥塞之间取得平衡已成为提高设计质量的瓶颈。本文提出了一种高效的全局路由器来应对这一挑战。我们首先提出了一种高效的基于 R 树的兼容路由区域划分算法,用于收集可路由区域,为理想的并行路由调度提供强大的支持。然后,考虑到木桶效应对拥塞评估的影响以及环路的不利影响,我们提出了一种拥塞驱动的初始并行路由方案,以增强三轴模式路由结构的可路由性。之后,我们开发了精确的拥塞估计模型和优化的路径搜索方案,这有助于有效管理较小的拥塞梯度变化,并指导有效减少拥塞。我们在 ISPD 2018 和 ISPD 2019 竞赛基准套件上评估了我们算法的性能,并将其与最先进的工作进行了比较。实验结果表明,我们提出的算法显著减少了 71% 的溢出,改善了 65% 的运行时间,总线长甚至更小。
{"title":"A fast and high-performance global router with enhanced congestion control","authors":"","doi":"10.1016/j.vlsi.2024.102263","DOIUrl":"10.1016/j.vlsi.2024.102263","url":null,"abstract":"<div><p>In global routing, congestion and running time are the key factors that affect the quality of the solution. With the rapid growth of integrated chip scale, striking a balance between running time and congestion has become a bottleneck in improving design quality. In this paper, we propose a highly efficient and effective global router to address this challenge. We first propose an efficient R-tree-based compatible routing region partitioning algorithm for collecting routable regions, which offers robust support for ideal parallel routing scheduling. Then, taking into account the effect of the barrel effect on congestion evaluation and the detrimental impact of loops, a congestion-driven initial parallel routing scheme is proposed to enhance routability in the triaxial pattern routing structure. After that, we develop an accurate congestion estimation model and an optimized path-searching scheme, which are instrumental in effectively managing smaller congestion gradient variations and guiding efficient congestion reduction. We evaluate the performance of our algorithm on the ISPD 2018 and ISPD 2019 contest benchmark suites and compare it with the state-of-the-art work. Experimental results show that our proposed algorithm significantly reduces 71% overflows, improving 65% running time, and the total wirelength is even smaller.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-17","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142050087","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Gate all around carbon nanotube field effect transistor espoused discrepancy cascode pass transistor adiabatic logic for ultra-low power application 用于超低功耗应用的栅极周围碳纳米管场效应晶体管支持差异级联通过晶体管绝热逻辑
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-14 DOI: 10.1016/j.vlsi.2024.102260

Advances in wearable technology, IoT, and mobile applications have increased the demand for ultra-low-power electronic devices. Adiabatic Logic Circuit (ALC) is a design technique utilized in digital circuits to decrease the power consumption by decreasing the dynamic power dissipation. Current technologies face challenges in achieving both high performance and ultra-low power consumption. This research work introduces a novel approach in digital circuit design, specifically the Gate All-around Carbon Nanotube Field Effect Transistor with Discrepancy Cascode Pass Transistor Adiabatic Logic (GAA-CNTFET-DCPTAL), tailored for ultra-low power applications. This design operates efficiently with a four-phase Power Clock (PC) and demonstrates remarkable performance by achieving operation frequencies of up to 1 GHz while minimizing energy dissipation. GAA-CNTFET provides superior electrostatic control and high carrier mobility, reducing leakage currents and enhancing switching speeds. Simultaneously, Discrepancy Cascode Pass Transistor Adiabatic Logic (DCPTAL) uses adiabatic logic principles and a cascode structure to minimize energy dissipation during switching events. The technology node of proposed model is 10 nm. The software used for assessment is HSPICE is used for the simulation and validation of the proposed design. The proposed GAA-design attains 25.36 %, 14.28 %, and 16.06 % lower average power analyzed with existing techniques, such as Design with Evaluation of Clocked Differential Adiabatic Logic Families for the applications of low Power (DE-CDAL-LPA), Adiabatic logic-base strong ARM comparator for ultra-low power applications (AL-SARM-ULPA) and Analysis of 2PADCL Energy Recovery Logic for Ultra Low Power VLSI Design for SOC with Embedded Applications (2PADCL-ULP-VLSI) respectively.

可穿戴技术、物联网和移动应用的发展增加了对超低功耗电子设备的需求。绝热逻辑电路 (ALC) 是数字电路中的一种设计技术,可通过降低动态功耗来减少功耗。当前的技术在实现高性能和超低功耗方面面临挑战。这项研究工作介绍了一种新颖的数字电路设计方法,特别是为超低功耗应用量身定制的栅极全方位碳纳米管场效应晶体管与差分级联通过晶体管绝热逻辑(GAA-CNTFET-DCPTAL)。该设计通过四相电源时钟 (PC) 实现高效运行,并在最大程度降低能耗的同时实现高达 1 GHz 的运行频率,表现出卓越的性能。GAA-CNTFET 具有出色的静电控制能力和高载流子迁移率,可降低漏电流并提高开关速度。同时,差分级联通过晶体管绝热逻辑(DCPTAL)采用绝热逻辑原理和级联结构,最大限度地减少了开关过程中的能量耗散。拟议模型的技术节点为 10 纳米。评估使用的软件是 HSPICE,用于模拟和验证拟议的设计。与现有技术相比,拟议的 GAA 设计的平均功率分别降低了 25.36%、14.28% 和 16.06%,这些现有技术包括用于低功耗应用的时钟差分绝热逻辑系列设计与评估(DE-CDAL-LPA)、用于超低功耗应用的绝热逻辑基强 ARM 比较器(AL-SARM-ULPA)和用于嵌入式应用 SOC 的超低功耗 VLSI 设计的 2PADCL 能量回收逻辑分析(2PADCL-ULP-VLSI)。
{"title":"Gate all around carbon nanotube field effect transistor espoused discrepancy cascode pass transistor adiabatic logic for ultra-low power application","authors":"","doi":"10.1016/j.vlsi.2024.102260","DOIUrl":"10.1016/j.vlsi.2024.102260","url":null,"abstract":"<div><p>Advances in wearable technology, IoT, and mobile applications have increased the demand for ultra-low-power electronic devices. Adiabatic Logic Circuit (ALC) is a design technique utilized in digital circuits to decrease the power consumption by decreasing the dynamic power dissipation. Current technologies face challenges in achieving both high performance and ultra-low power consumption. This research work introduces a novel approach in digital circuit design, specifically the Gate All-around Carbon Nanotube Field Effect Transistor with Discrepancy Cascode Pass Transistor Adiabatic Logic (GAA-CNTFET-DCPTAL), tailored for ultra-low power applications. This design operates efficiently with a four-phase Power Clock (PC) and demonstrates remarkable performance by achieving operation frequencies of up to 1 GHz while minimizing energy dissipation. GAA-CNTFET provides superior electrostatic control and high carrier mobility, reducing leakage currents and enhancing switching speeds. Simultaneously, Discrepancy Cascode Pass Transistor Adiabatic Logic (DCPTAL) uses adiabatic logic principles and a cascode structure to minimize energy dissipation during switching events. The technology node of proposed model is 10 nm. The software used for assessment is HSPICE is used for the simulation and validation of the proposed design. The proposed GAA-design attains 25.36 %, 14.28 %, and 16.06 % lower average power analyzed with existing techniques, such as Design with Evaluation of Clocked Differential Adiabatic Logic Families for the applications of low Power (DE-CDAL-LPA), Adiabatic logic-base strong ARM comparator for ultra-low power applications (AL-SARM-ULPA) and Analysis of 2PADCL Energy Recovery Logic for Ultra Low Power VLSI Design for SOC with Embedded Applications (2PADCL-ULP-VLSI) respectively.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-14","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"142095547","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Implementation of a fully integrated memristive Chua’s chaotic circuit with a voltage-controlled oscillator 利用压控振荡器实现全集成的蔡氏混沌记忆电路
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-12 DOI: 10.1016/j.vlsi.2024.102258

In this paper, a fully integrated memristive Chua’s chaotic circuit based on the voltage-controlled oscillator is proposed. The memristor replaces the nonlinear diode, and the VCO (voltage-controlled oscillator) replaces the LC oscillator, eliminating the need for diodes, resistors, capacitors, and other complex circuit structures. The proposed chaotic circuit occupies a small chip area, only 0.0045 mm2, and achieves low power consumption of 2.8267 mW. The chaotic circuit is fabricated using the SMIC 180 nm CMOS process. The simulation results demonstrate that the VCO circuit can generate a frequency output ranging from 358 MHz to 1.1 GHz by varying Vc from 0 V to 2.8 V, with a power supply of 3.3 V. The value range of the Lyapunov index is 1.015 1.03. The circuit offers advantages such as a stable power supply, low power consumption, and a small chip area.

本文提出了一种基于压控振荡器的全集成忆阻器蔡氏混沌电路。忆阻器取代了非线性二极管,VCO(压控振荡器)取代了 LC 振荡器,从而省去了二极管、电阻器、电容器和其他复杂的电路结构。所提出的混沌电路占用芯片面积小,仅为 0.0045 mm2,功耗低,仅为 2.8267 mW。混沌电路采用中芯国际 180 纳米 CMOS 工艺制造。仿真结果表明,在 3.3 V 的电源电压下,Vc 在 0 V 至 2.8 V 之间变化时,VCO 电路可产生 358 MHz 至 1.1 GHz 的频率输出。该电路具有电源稳定、功耗低、芯片面积小等优点。
{"title":"Implementation of a fully integrated memristive Chua’s chaotic circuit with a voltage-controlled oscillator","authors":"","doi":"10.1016/j.vlsi.2024.102258","DOIUrl":"10.1016/j.vlsi.2024.102258","url":null,"abstract":"<div><p>In this paper, a fully integrated memristive Chua’s chaotic circuit based on the voltage-controlled oscillator is proposed. The memristor replaces the nonlinear diode, and the VCO (voltage-controlled oscillator) replaces the LC oscillator, eliminating the need for diodes, resistors, capacitors, and other complex circuit structures. The proposed chaotic circuit occupies a small chip area, only 0.0045 <span><math><msup><mrow><mi>mm</mi></mrow><mrow><mn>2</mn></mrow></msup></math></span>, and achieves low power consumption of 2.8267 <span><math><mi>mW</mi></math></span>. The chaotic circuit is fabricated using the SMIC 180 nm CMOS process. The simulation results demonstrate that the VCO circuit can generate a frequency output ranging from 358 MHz to 1.1 GHz by varying Vc from 0 V to 2.8 V, with a power supply of 3.3 V. The value range of the Lyapunov index is 1.015 <span><math><mo>∼</mo></math></span>1.03. The circuit offers advantages such as a stable power supply, low power consumption, and a small chip area.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141993661","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A fast hardware accelerator for nighttime fog removal based on image fusion 基于图像融合的夜间除雾快速硬件加速器
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-08 DOI: 10.1016/j.vlsi.2024.102256

In this paper, a fast hardware accelerator for defogging based on image fusion is proposed. This method overcomes the problem of model based defogging algorithms being unable to estimate atmospheric light in dark scenes, as well as the poor performance of learning based defogging algorithms at night. Through hardware implementation and optimization, while reducing system resources, it can meet the demand for real-time defogging. The entire algorithm consists of difference guided filtering, grayscale linear stretching, and image fusion. The difference oriented filtering algorithm can enhance edges by obtaining image information of bright and dark channels, and has better effects on night lighting. Gray-scale linear stretching can restore the overall brightness and edge information of the image, compensating for some halos and noise caused by difference guided filtering. Numerous experiments have shown that the proposed hardware accelerator for defogging performs best at night. It can also be used effectively during the day. In addition, it has the fastest processing speed, which can process the images with the size of 1920*1080 for 34.5fps in real time.

本文提出了一种基于图像融合的快速除雾硬件加速器。该方法克服了基于模型的除雾算法在黑暗场景中无法估计大气光的问题,以及基于学习的除雾算法在夜间性能不佳的问题。通过硬件实现和优化,在减少系统资源的同时,可以满足实时除雾的需求。整个算法包括差分导向滤波、灰度线性拉伸和图像融合。其中,差分导向滤波算法通过获取明暗通道的图像信息来增强边缘,在夜间照明情况下效果更佳。灰度线性拉伸可以还原图像的整体亮度和边缘信息,弥补差分导向滤波造成的一些光晕和噪点。大量实验表明,所提出的用于除雾的硬件加速器在夜间表现最佳。它在白天也能有效使用。此外,它还具有最快的处理速度,能以 34.5fps 的速度实时处理尺寸为 1920*1080 的图像。
{"title":"A fast hardware accelerator for nighttime fog removal based on image fusion","authors":"","doi":"10.1016/j.vlsi.2024.102256","DOIUrl":"10.1016/j.vlsi.2024.102256","url":null,"abstract":"<div><p>In this paper, a fast hardware accelerator for defogging based on image fusion is proposed. This method overcomes the problem of model based defogging algorithms being unable to estimate atmospheric light in dark scenes, as well as the poor performance of learning based defogging algorithms at night. Through hardware implementation and optimization, while reducing system resources, it can meet the demand for real-time defogging. The entire algorithm consists of difference guided filtering, grayscale linear stretching, and image fusion. The difference oriented filtering algorithm can enhance edges by obtaining image information of bright and dark channels, and has better effects on night lighting. Gray-scale linear stretching can restore the overall brightness and edge information of the image, compensating for some halos and noise caused by difference guided filtering. Numerous experiments have shown that the proposed hardware accelerator for defogging performs best at night. It can also be used effectively during the day. In addition, it has the fastest processing speed, which can process the images with the size of 1920*1080 for 34.5fps in real time.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141997438","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Efficient deployment of Single Shot Multibox Detector network on FPGAs 在 FPGA 上高效部署单发多箱探测器网络
IF 2.2 3区 工程技术 Q3 COMPUTER SCIENCE, HARDWARE & ARCHITECTURE Pub Date : 2024-08-08 DOI: 10.1016/j.vlsi.2024.102255

FPGAs, characterized by their low power consumption and swift response, are ideally suited for parallel computations associated with object detection tasks, making them a popular choice for target detection and neural network acceleration. However, contemporary FPGA designs often come with high costs and resource demands, which limit their adoption in resource-constrained embedded and edge devices. This study presents a novel design that addresses these limitations by emphasizing cost-effectiveness, energy efficiency, and rapid performance, particularly for single-shot multi-box detectors. The design employs an Xilinx ZYNQ7020-based main control chip and leverages parallel computing models for convolution layers and feature extraction. It enhances efficiency by proposing parallel feature extraction at the network architecture level and integrates convolution activation and pooling in a single, hardware-optimized operation for convolution kernel computations. The design employs alternating memory reuse for feature layer inputs and outputs to optimize memory management, thereby reducing read/write delays and transmission times. Implemented on a PYNQ-Z2 development board and tested using Jupyter Notebook, the SSD algorithm demonstrates a 789.4 GOPS inference performance with 16-bit fixed-point quantization at a 200MHz clock frequency, achieving an average accuracy of 77.84% and an inference time of 81.4621 ms, while consuming 1.595 watts of power. This innovative design significantly boosts energy efficiency by up to 2590%, outperforming contemporary methods.

FPGA 的特点是功耗低、响应快,非常适合与目标检测任务相关的并行计算,因此成为目标检测和神经网络加速的热门选择。然而,当代的 FPGA 设计往往成本高、资源需求大,限制了其在资源有限的嵌入式和边缘设备中的应用。本研究提出了一种新颖的设计,通过强调成本效益、能效和快速性能来解决这些限制,特别是针对单发多箱探测器。该设计采用基于 Xilinx ZYNQ7020 的主控芯片,并利用并行计算模型进行卷积层和特征提取。它通过在网络架构层面提出并行特征提取来提高效率,并将卷积激活和池化集成在一个单一的、硬件优化的卷积核计算操作中。该设计对特征层输入和输出采用交替内存重用,以优化内存管理,从而减少读/写延迟和传输时间。SSD 算法在 PYNQ-Z2 开发板上实现,并使用 Jupyter Notebook 进行测试,在 200MHz 时钟频率下进行 16 位定点量化时,推理性能达到 789.4 GOPS,平均准确率为 77.84%,推理时间为 81.4621 ms,功耗为 1.595 瓦。这一创新设计大大提高了能效,最高可达 2590%,优于当代方法。
{"title":"Efficient deployment of Single Shot Multibox Detector network on FPGAs","authors":"","doi":"10.1016/j.vlsi.2024.102255","DOIUrl":"10.1016/j.vlsi.2024.102255","url":null,"abstract":"<div><p>FPGAs, characterized by their low power consumption and swift response, are ideally suited for parallel computations associated with object detection tasks, making them a popular choice for target detection and neural network acceleration. However, contemporary FPGA designs often come with high costs and resource demands, which limit their adoption in resource-constrained embedded and edge devices. This study presents a novel design that addresses these limitations by emphasizing cost-effectiveness, energy efficiency, and rapid performance, particularly for single-shot multi-box detectors. The design employs an Xilinx ZYNQ7020-based main control chip and leverages parallel computing models for convolution layers and feature extraction. It enhances efficiency by proposing parallel feature extraction at the network architecture level and integrates convolution activation and pooling in a single, hardware-optimized operation for convolution kernel computations. The design employs alternating memory reuse for feature layer inputs and outputs to optimize memory management, thereby reducing read/write delays and transmission times. Implemented on a PYNQ-Z2 development board and tested using Jupyter Notebook, the SSD algorithm demonstrates a 789.4 GOPS inference performance with 16-bit fixed-point quantization at a 200MHz clock frequency, achieving an average accuracy of 77.84% and an inference time of 81.4621 ms, while consuming 1.595 watts of power. This innovative design significantly boosts energy efficiency by up to 2590%, outperforming contemporary methods.</p></div>","PeriodicalId":54973,"journal":{"name":"Integration-The Vlsi Journal","volume":null,"pages":null},"PeriodicalIF":2.2,"publicationDate":"2024-08-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"141979893","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":3,"RegionCategory":"工程技术","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
Integration-The Vlsi Journal
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1