首页 > 最新文献

2011 VII Southern Conference on Programmable Logic (SPL)最新文献

英文 中文
Python as a hardware description language: A case study Python作为硬件描述语言:一个案例研究
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782635
J. I. Villar, J. Juan, M. Bellido, J. Viejo, D. Guerrero, J. Decaluwe
Many people may see the development of software and hardware like different disciplines. However, there are great similarities between them that have been shown due to the appearance of extensions for general purpose programming languages for its use as hardware description languages. In this contribution, the approach proposed by the MyHDL package to use Python as an HDL is analyzed by making a comparative study. This study is based on the independent application of Verilog and Python based flows to the development of a real peripheral. The use of MyHDL has revealed to be a powerful and promising tool, not only because of the surprising results, but also because it opens new horizons towards the development of new techniques for modeling and verification, using the full power of one of the most versatile programming languages nowadays.
许多人可能把软件和硬件的开发看作是不同的学科。然而,由于通用编程语言作为硬件描述语言的扩展的出现,它们之间有很大的相似之处。在这篇文章中,通过比较研究分析了MyHDL包提出的使用Python作为HDL的方法。本研究是基于Verilog和Python的独立应用程序来开发一个真实的外设。MyHDL的使用是一个强大而有前途的工具,不仅因为令人惊讶的结果,而且因为它为建模和验证的新技术的发展开辟了新的视野,使用了当今最通用的编程语言之一的全部功能。
{"title":"Python as a hardware description language: A case study","authors":"J. I. Villar, J. Juan, M. Bellido, J. Viejo, D. Guerrero, J. Decaluwe","doi":"10.1109/SPL.2011.5782635","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782635","url":null,"abstract":"Many people may see the development of software and hardware like different disciplines. However, there are great similarities between them that have been shown due to the appearance of extensions for general purpose programming languages for its use as hardware description languages. In this contribution, the approach proposed by the MyHDL package to use Python as an HDL is analyzed by making a comparative study. This study is based on the independent application of Verilog and Python based flows to the development of a real peripheral. The use of MyHDL has revealed to be a powerful and promising tool, not only because of the surprising results, but also because it opens new horizons towards the development of new techniques for modeling and verification, using the full power of one of the most versatile programming languages nowadays.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87749743","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A novel method for secure intellectual property deployment in embedded systems 一种在嵌入式系统中安全部署知识产权的新方法
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782649
Sunil Malipatlolla, S. Huss
The configuration data sequence of a Field Programmable Gate Array (FPGA) is an Intellectual Property (IP) of the original designer. With the increase in deployment of FPGAs in modern embedded systems, the IP protection of FPGA has become a necessary requirement for many IP vendors. There have been already many proposals to overcome this problem using symmetric encryption techniques but these methods need a cryptographic key to be stored in a non-volatile memory located on FPGA or in a battery-backed RAM as done in some of the current FPGAs. The expenses with the proposed methods are, occupation of larger area on FPGA in the former case and limited lifetime of the device in the latter. In contrast, we propose a novel method which combines the Dynamic Partial Reconfiguration (Dynamic PR) feature of an SRAM-based FPGA with the Public Key Cryptography (PKC) to protect the FPGA configuration files without the need of fixed key storage on FPGA or external to FPGA. The proposed method, is secure against the known attacks such as the Man-In-The-Middle (MITM) attack and replay attack. Therefore, the method can be used for secure deploying of IPs from local and remote vendors. Also, using this novel method not only high-end FPGAs but also low-end FPGAs with PR capabilities are secured.
现场可编程门阵列(FPGA)的配置数据序列是原始设计者的知识产权(IP)。随着FPGA在现代嵌入式系统中的部署越来越多,FPGA的IP保护已成为众多IP厂商的必然要求。已经有许多建议使用对称加密技术来克服这个问题,但是这些方法需要将加密密钥存储在FPGA上的非易失性存储器中,或者像目前的一些FPGA那样存储在电池支持的RAM中。所提出的方法的代价是前者占用FPGA较大的面积,而后者限制了器件的使用寿命。相比之下,我们提出了一种新的方法,该方法将基于sram的FPGA的动态部分重构(Dynamic Partial Reconfiguration, Dynamic PR)特性与公钥加密(Public Key Cryptography, PKC)相结合,以保护FPGA的配置文件,而无需在FPGA上或FPGA外部进行固定的密钥存储。该方法能够有效抵御已知的攻击,如中间人攻击(Man-In-The-Middle, MITM)和重放攻击。因此,该方法可用于安全部署来自本地和远程供应商的ip。此外,利用该方法不仅可以保证高端fpga的安全性,还可以保证具有PR功能的低端fpga的安全性。
{"title":"A novel method for secure intellectual property deployment in embedded systems","authors":"Sunil Malipatlolla, S. Huss","doi":"10.1109/SPL.2011.5782649","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782649","url":null,"abstract":"The configuration data sequence of a Field Programmable Gate Array (FPGA) is an Intellectual Property (IP) of the original designer. With the increase in deployment of FPGAs in modern embedded systems, the IP protection of FPGA has become a necessary requirement for many IP vendors. There have been already many proposals to overcome this problem using symmetric encryption techniques but these methods need a cryptographic key to be stored in a non-volatile memory located on FPGA or in a battery-backed RAM as done in some of the current FPGAs. The expenses with the proposed methods are, occupation of larger area on FPGA in the former case and limited lifetime of the device in the latter. In contrast, we propose a novel method which combines the Dynamic Partial Reconfiguration (Dynamic PR) feature of an SRAM-based FPGA with the Public Key Cryptography (PKC) to protect the FPGA configuration files without the need of fixed key storage on FPGA or external to FPGA. The proposed method, is secure against the known attacks such as the Man-In-The-Middle (MITM) attack and replay attack. Therefore, the method can be used for secure deploying of IPs from local and remote vendors. Also, using this novel method not only high-end FPGAs but also low-end FPGAs with PR capabilities are secured.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"75557020","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Using partial reconfigurability to aid debugging of FPGA designs 利用部分可重构性帮助FPGA设计调试
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782651
A. Ehliar, J. Siverskog
This paper discusses the use of partial reconfigurability in Xilinx FPGA designs in order to aid debugging. A debugging framework is proposed where the use of partial reconfigurability can allow for added flexibility by allowing a debugger to decide at run time what debugging module to use. This paper also presents an open source debugging tool which allows a user to read-out the contents of memory blocks in Xilinx designs without needing to use any JTAG adapter. This allows a user to debug an FPGA in situations which would otherwise be difficult, i.e. in the field.
本文讨论了部分可重构性在Xilinx FPGA设计中的应用,以帮助调试。本文提出了一个调试框架,其中部分可重构性的使用允许调试器在运行时决定使用哪个调试模块,从而增加了灵活性。本文还介绍了一个开源调试工具,它允许用户在不使用任何JTAG适配器的情况下读取Xilinx设计中的内存块的内容。这允许用户在困难的情况下调试FPGA,即在现场。
{"title":"Using partial reconfigurability to aid debugging of FPGA designs","authors":"A. Ehliar, J. Siverskog","doi":"10.1109/SPL.2011.5782651","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782651","url":null,"abstract":"This paper discusses the use of partial reconfigurability in Xilinx FPGA designs in order to aid debugging. A debugging framework is proposed where the use of partial reconfigurability can allow for added flexibility by allowing a debugger to decide at run time what debugging module to use. This paper also presents an open source debugging tool which allows a user to read-out the contents of memory blocks in Xilinx designs without needing to use any JTAG adapter. This allows a user to debug an FPGA in situations which would otherwise be difficult, i.e. in the field.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"72548600","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Spanning forests in constant time using FPGAS applied to network design problems 用fpga在恒定时间内跨越森林解决网络设计问题
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782645
T. Silva, Marcilyanne Moreira Gois, Paulo Matias, A. Delbem, E. Marques, Vanderlei Bonato
Problems involving network design can be found in many real world applications such as power systems, vehicle routing, telecommunication networks, phylogenetic trees, among others. These problems involve thousands or millions of input variables and often need information and solution in real time. In general, they are computationally complex (NP-Hard). In this context, metaheuristics like evolutionary algorithms have been investigated. Recently, researches have shown that the performance of evolutionary algorithms for network design problems can be significantly increased by means of more appropriate dynamic data structures (encodings). To achieve high performance, we parallelized the application via a dynamic data structure, called node-depth encoding for representation of a set (population) of spanning forests. This paper proposes an FPGA-based hardware architecture, denominated Hardware-Parallelized NDE (HPNDE), which is able to generate spanning trees (forests) in a constant average running time O(1), enabling its application in real large-scale problems, given an FPGA with enough resources to implement such structure. The parallelized approach is 1.5k times faster than its sequential counterpart.
涉及网络设计的问题可以在许多现实世界的应用中找到,例如电力系统、车辆路由、电信网络、系统发育树等。这些问题涉及数千或数百万个输入变量,通常需要实时的信息和解决方案。一般来说,它们是计算复杂的(NP-Hard)。在这种背景下,元启发式如进化算法已经被研究。近年来的研究表明,采用更合适的动态数据结构(编码)可以显著提高网络设计问题进化算法的性能。为了实现高性能,我们通过一种动态数据结构(称为节点深度编码,用于表示一组(总体)生成森林)来并行化应用程序。本文提出了一种基于FPGA的硬件架构,称为硬件并行化NDE (HPNDE),它能够在恒定的平均运行时间O(1)内生成生成树(森林),使其能够应用于实际的大规模问题,只要FPGA有足够的资源来实现这种结构。并行化方法比顺序方法快1.5k倍。
{"title":"Spanning forests in constant time using FPGAS applied to network design problems","authors":"T. Silva, Marcilyanne Moreira Gois, Paulo Matias, A. Delbem, E. Marques, Vanderlei Bonato","doi":"10.1109/SPL.2011.5782645","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782645","url":null,"abstract":"Problems involving network design can be found in many real world applications such as power systems, vehicle routing, telecommunication networks, phylogenetic trees, among others. These problems involve thousands or millions of input variables and often need information and solution in real time. In general, they are computationally complex (NP-Hard). In this context, metaheuristics like evolutionary algorithms have been investigated. Recently, researches have shown that the performance of evolutionary algorithms for network design problems can be significantly increased by means of more appropriate dynamic data structures (encodings). To achieve high performance, we parallelized the application via a dynamic data structure, called node-depth encoding for representation of a set (population) of spanning forests. This paper proposes an FPGA-based hardware architecture, denominated Hardware-Parallelized NDE (HPNDE), which is able to generate spanning trees (forests) in a constant average running time O(1), enabling its application in real large-scale problems, given an FPGA with enough resources to implement such structure. The parallelized approach is 1.5k times faster than its sequential counterpart.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"87960648","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A novel low-latency parallel architecture for digital PLL with application to ultra-high speed carrier recovery systems 一种适用于超高速载波恢复系统的新型低延迟数字锁相环并行架构
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782621
P. Gianni, H. Carrer, G. Corral-Briones, M. Hueda
This paper introduces a new low latency parallel processing digital carrier recovery (CR) architecture suitable for ultra-high speed intradyne coherent optical receivers (e.g. ≥ 100Gb/s). The proposed parallel scheme builds upon a novel digital phase locked loop (DPLL) architecture, which breaks the bottleneck of the feedback path. Thus, it is avoided the high latency introduced by the parallel processing implementation in the feedback loop of traditional DPLLs. Numerical results show that the bandwidth and the capture range of the new parallel DPLL are close to those achieved by a serial DPLL. This excellent behavior makes the proposed low latency parallel DPLL architecture an excellent choice for implementing high speed CR systems in both ASIC and FPGA platforms.
本文介绍了一种适用于超高速内相干光接收机(如≥100Gb/s)的低延迟并行处理数字载波恢复(CR)新架构。该方案基于一种新颖的数字锁相环(DPLL)结构,打破了反馈路径的瓶颈。从而避免了传统dpll反馈回路中并行处理实现带来的高延迟。数值计算结果表明,新型并联DPLL的带宽和捕获范围与串行DPLL接近。这种优异的性能使得所提出的低延迟并行DPLL架构成为在ASIC和FPGA平台上实现高速CR系统的绝佳选择。
{"title":"A novel low-latency parallel architecture for digital PLL with application to ultra-high speed carrier recovery systems","authors":"P. Gianni, H. Carrer, G. Corral-Briones, M. Hueda","doi":"10.1109/SPL.2011.5782621","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782621","url":null,"abstract":"This paper introduces a new low latency parallel processing digital carrier recovery (CR) architecture suitable for ultra-high speed intradyne coherent optical receivers (e.g. ≥ 100Gb/s). The proposed parallel scheme builds upon a novel digital phase locked loop (DPLL) architecture, which breaks the bottleneck of the feedback path. Thus, it is avoided the high latency introduced by the parallel processing implementation in the feedback loop of traditional DPLLs. Numerical results show that the bandwidth and the capture range of the new parallel DPLL are close to those achieved by a serial DPLL. This excellent behavior makes the proposed low latency parallel DPLL architecture an excellent choice for implementing high speed CR systems in both ASIC and FPGA platforms.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91313252","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Soft error in FPGA-implemented asynchronous circuits fpga实现异步电路中的软误差
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782652
W. Kuang, Yu Bai
In this paper, we investigate the mechanism of soft error generation and propagation in asynchronous circuits which are implemented on FPGAs. The effects of the soft errors on Quasi-delay-insensitive (QDI) asynchronous circuits are analyzed. The results show that it is much easier to detect the soft error in asynchronous circuits implemented on FPGAs so that FPGAs can be reprogrammed, compared with traditional synchronous circuits.
本文研究了在fpga上实现异步电路中的软误差产生和传播机制。分析了软误差对准延迟不敏感(QDI)异步电路的影响。结果表明,与传统的同步电路相比,用fpga实现的异步电路更容易检测出软误差,从而使fpga可以重新编程。
{"title":"Soft error in FPGA-implemented asynchronous circuits","authors":"W. Kuang, Yu Bai","doi":"10.1109/SPL.2011.5782652","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782652","url":null,"abstract":"In this paper, we investigate the mechanism of soft error generation and propagation in asynchronous circuits which are implemented on FPGAs. The effects of the soft errors on Quasi-delay-insensitive (QDI) asynchronous circuits are analyzed. The results show that it is much easier to detect the soft error in asynchronous circuits implemented on FPGAs so that FPGAs can be reprogrammed, compared with traditional synchronous circuits.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73472787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Experiences applying framework-based functional verification to a design for programmable logic 有将基于框架的功能验证应用于可编程逻辑设计的经验
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782634
O. Goni, M. Vazquez, E. Todorovich, G. Sutter
This paper presents experiences in applying modern functional verification to a configurable decimal floating point Adder / Subtractor core targeted to programmable logic. Despite its huge input space, a number of hard-to-verify corner cases are identified. Two different verification frameworks were applied in order to develop testbenches: OVM and Truss. These tesbenches were built to be independent of the ALU operand representation and IEEE754-2008 specific modules were also implemented. Verification results, the experience itself, and a comparative study of the alternatives was made and summarized for designers and verification engineers.
本文介绍了将现代功能验证应用于面向可编程逻辑的可配置十进制浮点加/减核的经验。尽管它的输入空间很大,但仍发现了许多难以验证的极端情况。为了开发测试平台,我们应用了两种不同的验证框架:OVM和Truss。这些测试台的构建与ALU操作数表示无关,并且还实现了IEEE754-2008特定模块。对验证结果、经验本身以及备选方案的比较研究进行了总结,供设计人员和验证工程师参考。
{"title":"Experiences applying framework-based functional verification to a design for programmable logic","authors":"O. Goni, M. Vazquez, E. Todorovich, G. Sutter","doi":"10.1109/SPL.2011.5782634","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782634","url":null,"abstract":"This paper presents experiences in applying modern functional verification to a configurable decimal floating point Adder / Subtractor core targeted to programmable logic. Despite its huge input space, a number of hard-to-verify corner cases are identified. Two different verification frameworks were applied in order to develop testbenches: OVM and Truss. These tesbenches were built to be independent of the ALU operand representation and IEEE754-2008 specific modules were also implemented. Verification results, the experience itself, and a comparative study of the alternatives was made and summarized for designers and verification engineers.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"73871780","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A high data rate BPSK receiver implementation in FPGA for high dynamics applications 高数据速率BPSK接收机的FPGA实现,用于高动态应用
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782654
Juan Augusto Maya, Nicolas A. Casco, P. A. Roncagliolo, Javier G. García
In this paper we present the implementation of a FPGA based high data rate BPSK receiver specifically designed to withstand the high dynamics of airborne vehicles (i.e. aircraft, sounding rockets, satellites, etc.). The carrier recovery is implemented through a Costas loop, and a Gardner detector is used for the timing recovery. This architecture was chosen because it provides almost independent carrier and bit synchronization. Loop filters were designed through analog to discrete-time conversion. A theoretical analysis of the design, simulation and its implementation is presented.
在本文中,我们提出了一种基于FPGA的高数据速率BPSK接收机的实现,该接收机专门设计用于承受机载飞行器(即飞机,探空火箭,卫星等)的高动态。载波恢复通过Costas环路实现,Gardner检测器用于定时恢复。之所以选择这种体系结构,是因为它提供了几乎独立的载波和位同步。通过模拟时间到离散时间的转换,设计了环路滤波器。对该系统的设计、仿真和实现进行了理论分析。
{"title":"A high data rate BPSK receiver implementation in FPGA for high dynamics applications","authors":"Juan Augusto Maya, Nicolas A. Casco, P. A. Roncagliolo, Javier G. García","doi":"10.1109/SPL.2011.5782654","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782654","url":null,"abstract":"In this paper we present the implementation of a FPGA based high data rate BPSK receiver specifically designed to withstand the high dynamics of airborne vehicles (i.e. aircraft, sounding rockets, satellites, etc.). The carrier recovery is implemented through a Costas loop, and a Gardner detector is used for the timing recovery. This architecture was chosen because it provides almost independent carrier and bit synchronization. Loop filters were designed through analog to discrete-time conversion. A theoretical analysis of the design, simulation and its implementation is presented.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76175267","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Iterative decimal multiplication using binary arithmetic 使用二进制算法的迭代十进制乘法
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782658
M. Véstias, H. Neto
The IEEE-754 2008 standard for floating point arithmetic has definitely dictated the importance of decimal arithmetic. Human-centric applications, like financial and commercial, depend on decimal arithmetic since the results must match exactly those obtained by human calculations. A few hardware approaches have been proposed for decimal arithmetic, including addition, subtraction, multiplication and division. Parallel implementations for these operations are very expensive in terms of occupied resources and therefore implementations based on iterative algorithms are good alternatives. In this paper, we propose an iterative decimal multiplier for FPGA that uses binary arithmetic. The circuits were implemented in a Xilinx Virtex 4 FPGA. The results indicate that the proposed iterative multipliers are very competitive when compared to decimal multipliers implemented with direct manipulation of BCD numbers.
浮点运算的IEEE-754 2008标准明确规定了十进制运算的重要性。以人为中心的应用程序,如金融和商业,依赖于十进制算术,因为结果必须与人类计算得到的结果完全匹配。一些硬件方法已经提出了十进制算术,包括加法,减法,乘法和除法。就占用的资源而言,这些操作的并行实现非常昂贵,因此基于迭代算法的实现是很好的替代方案。本文提出了一种基于二进制算法的迭代十进制乘法器。电路在Xilinx Virtex 4 FPGA上实现。结果表明,与直接操作BCD数实现的十进制乘法器相比,所提出的迭代乘法器具有很强的竞争力。
{"title":"Iterative decimal multiplication using binary arithmetic","authors":"M. Véstias, H. Neto","doi":"10.1109/SPL.2011.5782658","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782658","url":null,"abstract":"The IEEE-754 2008 standard for floating point arithmetic has definitely dictated the importance of decimal arithmetic. Human-centric applications, like financial and commercial, depend on decimal arithmetic since the results must match exactly those obtained by human calculations. A few hardware approaches have been proposed for decimal arithmetic, including addition, subtraction, multiplication and division. Parallel implementations for these operations are very expensive in terms of occupied resources and therefore implementations based on iterative algorithms are good alternatives. In this paper, we propose an iterative decimal multiplier for FPGA that uses binary arithmetic. The circuits were implemented in a Xilinx Virtex 4 FPGA. The results indicate that the proposed iterative multipliers are very competitive when compared to decimal multipliers implemented with direct manipulation of BCD numbers.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90519994","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
Balanced bipartitioning of a multi-weighted hypergraph for heterogeneous FPGAS 异构fpga的多加权超图平衡双划分
Pub Date : 2011-04-13 DOI: 10.1109/SPL.2011.5782631
Sagnik Mukhopadhyay, Pritha Banerjee, S. Sur-Kolay
In this paper, we present a heuristic algorithm for bipartitioning a netlist of modules having m types of heterogeneous resources, as in modern FPGAs with configurable logic blocks (CLBs), Block RAMs and Multipliers (MULs). The desired min-cut bipartition has to satisfy m constraints arising from given balance ratios, one for each type of resource. The netlist is represented as a hypergraph, whose vertices correspond to the modules. Each vertex has a m-tuple weight vector, denoting the number of resource units of each type. Our proposed multi-constraint bipartitioner is based on dynamic programming, which employs a single-constraint bipartitioner. The upper bounds for mean deviation in combined balance ratio, and for the increment in cut-size are presented. Experimental results on a set of benchmarks show that on the average there is negligible deviation in cut-size for multi-constraint bipartitions from single-constraint bipartion, while satisfying the individual balance ratio constraints for each type of resource.
在本文中,我们提出了一种启发式算法,用于双分区具有m种异构资源的模块网络列表,如具有可配置逻辑块(clb),块ram和乘法器(MULs)的现代fpga。所需的最小切割二分割必须满足m个由给定平衡比率产生的约束,每种类型的资源一个约束。网表表示为超图,其顶点对应于模块。每个顶点都有一个m元组权重向量,表示每种类型的资源单位的数量。我们提出的多约束双分区是基于动态规划的,它采用了单约束双分区。给出了组合平衡比平均偏差的上界和切割尺寸增量的上界。在一组基准上的实验结果表明,在满足每种类型资源的单个平衡比率约束的情况下,多约束双分割与单约束双分割的切割尺寸平均偏差可以忽略不计。
{"title":"Balanced bipartitioning of a multi-weighted hypergraph for heterogeneous FPGAS","authors":"Sagnik Mukhopadhyay, Pritha Banerjee, S. Sur-Kolay","doi":"10.1109/SPL.2011.5782631","DOIUrl":"https://doi.org/10.1109/SPL.2011.5782631","url":null,"abstract":"In this paper, we present a heuristic algorithm for bipartitioning a netlist of modules having m types of heterogeneous resources, as in modern FPGAs with configurable logic blocks (CLBs), Block RAMs and Multipliers (MULs). The desired min-cut bipartition has to satisfy m constraints arising from given balance ratios, one for each type of resource. The netlist is represented as a hypergraph, whose vertices correspond to the modules. Each vertex has a m-tuple weight vector, denoting the number of resource units of each type. Our proposed multi-constraint bipartitioner is based on dynamic programming, which employs a single-constraint bipartitioner. The upper bounds for mean deviation in combined balance ratio, and for the increment in cut-size are presented. Experimental results on a set of benchmarks show that on the average there is negligible deviation in cut-size for multi-constraint bipartitions from single-constraint bipartion, while satisfying the individual balance ratio constraints for each type of resource.","PeriodicalId":6329,"journal":{"name":"2011 VII Southern Conference on Programmable Logic (SPL)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-04-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88925550","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
2011 VII Southern Conference on Programmable Logic (SPL)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1