首页 > 最新文献

2018 IEEE International Solid - State Circuits Conference - (ISSCC)最新文献

英文 中文
EE1: Student research preview (SRP) EE1:学生研究预览(SRP)
Pub Date : 2019-02-01 DOI: 10.1109/isscc.2018.8310409
T. Mohsenin
The Student Research Preview (SRP) will highlight selected student research projects in progress. The SRP consists of 25 one-minute presentations followed by a Poster Session, by graduate students from around the world, which have been selected on the basis of a short submission concerning their on-going research. Selection is based on the technical quality and innovation of the work. This year, the SRP will be presented in three theme sections: Communications and Power; Deep Learning and Biomedical Circuits; Memory, Sensors, and Mixed-Signal Circuits. The Student Research Preview will include a brief talk by a distinguished member of the solid-state circuits community, Professor Tom Lee, Stanford University. SRP begins at 7:30 pm on Sunday, Febuary 11th. SRP is open to all ISSCC registrants.
学生研究预览(SRP)将重点介绍正在进行的学生研究项目。SRP由25个一分钟的演讲组成,随后是一个海报会议,由来自世界各地的研究生根据他们正在进行的研究的简短提交选出。选择是基于技术质量和工作的创新。今年,SRP将分为三个主题部分:通信和电力;深度学习与生物医学电路;存储器,传感器和混合信号电路。学生研究预览将包括斯坦福大学固态电路界杰出成员Tom Lee教授的简短演讲。SRP将于2月11日周日晚上7:30开始。SRP向所有ISSCC注册人开放。
{"title":"EE1: Student research preview (SRP)","authors":"T. Mohsenin","doi":"10.1109/isscc.2018.8310409","DOIUrl":"https://doi.org/10.1109/isscc.2018.8310409","url":null,"abstract":"The Student Research Preview (SRP) will highlight selected student research projects in progress. The SRP consists of 25 one-minute presentations followed by a Poster Session, by graduate students from around the world, which have been selected on the basis of a short submission concerning their on-going research. Selection is based on the technical quality and innovation of the work. This year, the SRP will be presented in three theme sections: Communications and Power; Deep Learning and Biomedical Circuits; Memory, Sensors, and Mixed-Signal Circuits. The Student Research Preview will include a brief talk by a distinguished member of the solid-state circuits community, Professor Tom Lee, Stanford University. SRP begins at 7:30 pm on Sunday, Febuary 11th. SRP is open to all ISSCC registrants.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"70 1","pages":"520-522"},"PeriodicalIF":0.0,"publicationDate":"2019-02-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"86221701","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology 采用96字行层技术的512Gb 3b/Cell 3D闪存
Pub Date : 2018-04-12 DOI: 10.1109/ISSCC.2018.8310321
H. Maejima, K. Kanda, Susumu Fujimura, Teruo Takagiwa, S. Ozawa, Jumpei Sato, Yoshihiko Shindo, Manabu Sato, Naoaki Kanagawa, Junji Musha, Satoshi Inoue, Katsuaki Sakurai, Naohito Morozumi, R. Fukuda, Yuui Shimizu, Toshifumi Hashimoto, Xu Li, Y. Shimizu, Kenichi Abe, Tadashi Yasufuku, Takatoshi Minamoto, Hiroshi Yoshihara, Takahiro Yamashita, Kazuhiko Satou, Takahiro Sugimoto, Fumihiro Kono, Mitsuhiro Abe, Tomoharu Hashiguchi, M. Kojima, Yasuhiro Suematsu, Takahiro Shimizu, Akihiro Imamoto, N. Kobayashi, M. Miakashi, Kouichirou Yamaguchi, Sanad Bushnaq, Hicham Haibi, Masatsugu Ogawa, Y. Ochi, Kenro Kubota, T. Wakui, D. He, Weihan Wang, H. Minagawa, Tomoko Nishiuchi, Hao Nguyen, Kwang-Ho Kim, Ken Cheah, Y. Koh, Feng Lu, Venky Ramachandra, Srinivas Rajendra, Steve Choi, Keyur Payak, Namas Raghunathan, Spiros Georgakis, Hiroshi Sugawara, Seungpil Lee, T. Futatsuyama, K. Hosono, N. Shibata, Toshiki Hisada, T. Kaneko, H. Nakamura
The first multi-layer stacked 3D Flash memory was proposed as BiCS FLASH in 2007 [1]. Since then, memory bit density has grown rapidly due to the increase in the number of stacked layers from continuous 3D technology innovations. On the other hand, the multi-level-cell technology, which was initially proposed for 2D Flash, has also been adopted to 3D Flash memories. The first 3b/cell 32-layer Flash was presented in 2015 [2], followed by a 48-layer one in 2016 [3], and a 64-layer one in 2017 [4,5]. This paper describes a 512Gb 3b/cell 3D Flash memory in a 96-word-line-layer BiCS FLASH technology. This work implements three key technologies to improve performance: (1) a string based start bias control scheme achieves a 7% shorter program time; (2) a smart Vt-tracking read improves read retry performance by minimizing the tracking time and supporting a program suspend read function, and; (3) a low-pre-charge sense-amplifier bus scheme reduces both the power consumption and the data-transfer time between the sense amplifier (SA) and the data cache by half. Figure 20.1.1 shows the die micrograph and the summary of the key features of the chip.
2007年,第一个多层堆叠3D闪存被提出为BiCS Flash[1]。从那时起,由于不断的3D技术创新导致堆叠层数量的增加,内存位密度迅速增长。另一方面,最初提出用于2D闪存的多层单元技术也被用于3D闪存。第一个3b/cell 32层Flash于2015年发布[2],随后在2016年发布了48层Flash[3],在2017年发布了64层Flash[4,5]。本文介绍了一种采用96字行层BiCS Flash技术的512Gb 3b/cell 3D闪存。本文实现了提高性能的三个关键技术:(1)基于字符串的启动偏置控制方案使程序时间缩短了7%;(2)智能vt跟踪读取通过最小化跟踪时间和支持程序挂起读取功能来提高读重试性能;(3)低预充电感测放大器总线方案将感测放大器与数据缓存之间的功耗和数据传输时间降低了一半。图20.1.1显示了芯片显微图和芯片主要特征的总结。
{"title":"A 512Gb 3b/Cell 3D flash memory on a 96-word-line-layer technology","authors":"H. Maejima, K. Kanda, Susumu Fujimura, Teruo Takagiwa, S. Ozawa, Jumpei Sato, Yoshihiko Shindo, Manabu Sato, Naoaki Kanagawa, Junji Musha, Satoshi Inoue, Katsuaki Sakurai, Naohito Morozumi, R. Fukuda, Yuui Shimizu, Toshifumi Hashimoto, Xu Li, Y. Shimizu, Kenichi Abe, Tadashi Yasufuku, Takatoshi Minamoto, Hiroshi Yoshihara, Takahiro Yamashita, Kazuhiko Satou, Takahiro Sugimoto, Fumihiro Kono, Mitsuhiro Abe, Tomoharu Hashiguchi, M. Kojima, Yasuhiro Suematsu, Takahiro Shimizu, Akihiro Imamoto, N. Kobayashi, M. Miakashi, Kouichirou Yamaguchi, Sanad Bushnaq, Hicham Haibi, Masatsugu Ogawa, Y. Ochi, Kenro Kubota, T. Wakui, D. He, Weihan Wang, H. Minagawa, Tomoko Nishiuchi, Hao Nguyen, Kwang-Ho Kim, Ken Cheah, Y. Koh, Feng Lu, Venky Ramachandra, Srinivas Rajendra, Steve Choi, Keyur Payak, Namas Raghunathan, Spiros Georgakis, Hiroshi Sugawara, Seungpil Lee, T. Futatsuyama, K. Hosono, N. Shibata, Toshiki Hisada, T. Kaneko, H. Nakamura","doi":"10.1109/ISSCC.2018.8310321","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310321","url":null,"abstract":"The first multi-layer stacked 3D Flash memory was proposed as BiCS FLASH in 2007 [1]. Since then, memory bit density has grown rapidly due to the increase in the number of stacked layers from continuous 3D technology innovations. On the other hand, the multi-level-cell technology, which was initially proposed for 2D Flash, has also been adopted to 3D Flash memories. The first 3b/cell 32-layer Flash was presented in 2015 [2], followed by a 48-layer one in 2016 [3], and a 64-layer one in 2017 [4,5]. This paper describes a 512Gb 3b/cell 3D Flash memory in a 96-word-line-layer BiCS FLASH technology. This work implements three key technologies to improve performance: (1) a string based start bias control scheme achieves a 7% shorter program time; (2) a smart Vt-tracking read improves read retry performance by minimizing the tracking time and supporting a program suspend read function, and; (3) a low-pre-charge sense-amplifier bus scheme reduces both the power consumption and the data-transfer time between the sense amplifier (SA) and the data cache by half. Figure 20.1.1 shows the die micrograph and the summary of the key features of the chip.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"1 1","pages":"336-338"},"PeriodicalIF":0.0,"publicationDate":"2018-04-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"84009150","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Single-chip reduced-wire active catheter system with programmable transmit beamforming and receive time-division multiplexing for intracardiac echocardiography 用于心内超声心动图的可编程发射波束形成和接收时分多路复用的单芯片减少导线主动导管系统
Pub Date : 2018-03-12 DOI: 10.1109/ISSCC.2018.8310247
Gwangrok Jung, M. W. Rashid, T. Carpenter, C. Tekes, D. Cowell, S. Freear, F. Degertekin, Maysam Ghovanloo
Intracardiac echocardiography (ICE) provides real-time ultrasound imaging of the heart anatomy from inside, guiding interventions like valve repair, closure of atrial septal defects (ASD) and catheter-based ablation to treat atrial fibrillation. With its better image quality and ease of use, ICE is becoming the preferred imaging modality over transesophageal echography (TEE) for structural heart interventions. The existing commercial ICE catheters, however, offer a limited 2-D or 3-D field of view despite catheters utilizing large number of wires. In these catheters, each element in the ICE array is connected to the backend data-acquisition channel with a separate wire, which is a critical barrier for improving image quality and widening the field of view. In order to use ICE catheters under MRI instead of the ionizing X-ray radiation-based angiography, the number of interconnect wires in the catheter should be minimized to reduce RF-induced heating. Furthermore, reducing the number of wires improves the flexibility and lowers the cost of the single-use ICE catheters.
心内超声心动图(ICE)提供心脏内部解剖结构的实时超声成像,指导瓣膜修复、房间隔缺损关闭(ASD)和导管消融治疗房颤等干预措施。由于其更好的图像质量和易用性,ICE正在成为比经食管超声(TEE)更受欢迎的结构性心脏介入成像方式。然而,尽管现有的商用ICE导管使用了大量的导线,但其提供的2d或3d视野有限。在这些导管中,ICE阵列中的每个元件都通过单独的导线连接到后端数据采集通道,这是提高图像质量和扩大视场的关键障碍。为了在MRI下使用ICE导管代替基于电离x射线的血管造影,应尽量减少导管内互连导线的数量,以减少射频引起的加热。此外,减少导线的数量提高了一次性ICE导管的灵活性并降低了成本。
{"title":"Single-chip reduced-wire active catheter system with programmable transmit beamforming and receive time-division multiplexing for intracardiac echocardiography","authors":"Gwangrok Jung, M. W. Rashid, T. Carpenter, C. Tekes, D. Cowell, S. Freear, F. Degertekin, Maysam Ghovanloo","doi":"10.1109/ISSCC.2018.8310247","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310247","url":null,"abstract":"Intracardiac echocardiography (ICE) provides real-time ultrasound imaging of the heart anatomy from inside, guiding interventions like valve repair, closure of atrial septal defects (ASD) and catheter-based ablation to treat atrial fibrillation. With its better image quality and ease of use, ICE is becoming the preferred imaging modality over transesophageal echography (TEE) for structural heart interventions. The existing commercial ICE catheters, however, offer a limited 2-D or 3-D field of view despite catheters utilizing large number of wires. In these catheters, each element in the ICE array is connected to the backend data-acquisition channel with a separate wire, which is a critical barrier for improving image quality and widening the field of view. In order to use ICE catheters under MRI instead of the ionizing X-ray radiation-based angiography, the number of interconnect wires in the catheter should be minimized to reduce RF-induced heating. Furthermore, reducing the number of wires improves the flexibility and lowers the cost of the single-use ICE catheters.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"5 1","pages":"188-190"},"PeriodicalIF":0.0,"publicationDate":"2018-03-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83756799","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
A fully integrated split-electrode synchronized-switch-harvesting-on-capacitors (SE-SSHC) rectifier for piezoelectric energy harvesting with between 358% and 821% power-extraction enhancement 一种用于压电能量收集的完全集成的分电极同步开关收集电容器(SE-SSHC)整流器,功率提取增强358%至821%
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310229
S. Du, A. Seshia
Along with the development of the Internet of Everything (IoE), miniaturized piezoelectric vibration-energy harvesters have drawn significant recent interest as a means of harvesting ambient kinetic energy to power wireless sensors. As the energy generated by a piezoelectric transducer (PT) cannot be directly used, an interface circuit is needed to rectify the generated power and provide a stable supply. Full-bridge rectifiers (FBR) are widely used due to their simplicity despite their low energy efficiency. Recently, various interface circuits have been reported [1-5] to improve power efficiency, such as the SSHI (Synchronized Switch Harvesting on Inductor) rectifier. However, most of these reported circuits require large inductors to achieve good performance, and these inductors significantly increase the system volume, counter to the requirement for system miniaturization. Although a flipping-capacitor rectifier was proposed in [2] to flip voltages using on-chip capacitors, it was designed for high frequency (>100kHz) ultrasonic energy transfer applications and does not work with PTs with a large internal capacitor CP since the values of the capacitors required are too large for on-chip implementation. Another inductorless circuit, named SSHC (synchronized switch harvesting on capacitors), was recently proposed in [1] (Fig. 8.9.1); however, the required switched-capacitor (SC) values must equal CP to achieve optimal performance and this limits the on-chip implementation for PTs with large CP capacitance.
随着万物互联(IoE)的发展,微型压电振动能量采集器作为一种收集环境动能为无线传感器供电的手段,最近引起了人们的极大兴趣。由于压电换能器(PT)产生的能量不能直接使用,需要接口电路对产生的能量进行整流,提供稳定的电源。全桥整流器(FBR)由于其结构简单,能效低而得到广泛应用。最近,各种接口电路已被报道[1-5],以提高功率效率,如SSHI(同步开关采集对电感)整流器。然而,这些报道的电路大多需要大型电感器才能达到良好的性能,而这些电感器显著增加了系统体积,与系统小型化的要求背道而驰。虽然在[2]中提出了一种翻转电容器整流器来使用片上电容器翻转电压,但它是为高频(>100kHz)超声波能量传递应用而设计的,并且不适用于具有大内部电容器CP的PTs,因为所需电容器的值对于片上实现来说太大了。最近在[1]中提出了另一种无电感电路,称为SSHC(同步开关捕获电容器)(图8.9.1);然而,所需的开关电容(SC)值必须等于CP才能获得最佳性能,这限制了具有大CP电容的PTs的片上实现。
{"title":"A fully integrated split-electrode synchronized-switch-harvesting-on-capacitors (SE-SSHC) rectifier for piezoelectric energy harvesting with between 358% and 821% power-extraction enhancement","authors":"S. Du, A. Seshia","doi":"10.1109/ISSCC.2018.8310229","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310229","url":null,"abstract":"Along with the development of the Internet of Everything (IoE), miniaturized piezoelectric vibration-energy harvesters have drawn significant recent interest as a means of harvesting ambient kinetic energy to power wireless sensors. As the energy generated by a piezoelectric transducer (PT) cannot be directly used, an interface circuit is needed to rectify the generated power and provide a stable supply. Full-bridge rectifiers (FBR) are widely used due to their simplicity despite their low energy efficiency. Recently, various interface circuits have been reported [1-5] to improve power efficiency, such as the SSHI (Synchronized Switch Harvesting on Inductor) rectifier. However, most of these reported circuits require large inductors to achieve good performance, and these inductors significantly increase the system volume, counter to the requirement for system miniaturization. Although a flipping-capacitor rectifier was proposed in [2] to flip voltages using on-chip capacitors, it was designed for high frequency (>100kHz) ultrasonic energy transfer applications and does not work with PTs with a large internal capacitor CP since the values of the capacitors required are too large for on-chip implementation. Another inductorless circuit, named SSHC (synchronized switch harvesting on capacitors), was recently proposed in [1] (Fig. 8.9.1); however, the required switched-capacitor (SC) values must equal CP to achieve optimal performance and this limits the on-chip implementation for PTs with large CP capacitance.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"32 1","pages":"152-154"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85299481","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors 基于算法的65nm内存SRAM单元宏,2.3ns和55.8TOPS/W全并行积和运算,用于二进制DNN边缘处理器
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310401
W. Khwa, Jia-Jing Chen, Jia-Fang Li, Xin Si, En-Yu Yang, Xiaoyu Sun, Rui Liu, Pai-Yu Chen, Qiang Li, Shimeng Yu, Meng-Fan Chang
For deep-neural-network (DNN) processors [1-4], the product-sum (PS) operation predominates the computational workload for both convolution (CNVL) and fully-connect (FCNL) neural-network (NN) layers. This hinders the adoption of DNN processors to on the edge artificial-intelligence (AI) devices, which require low-power, low-cost and fast inference. Binary DNNs [5-6] are used to reduce computation and hardware costs for AI edge devices; however, a memory bottleneck still remains. In Fig. 31.5.1 conventional PE arrays exploit parallelized computation, but suffer from inefficient single-row SRAM access to weights and intermediate data. Computing-in-memory (CIM) improves efficiency by enabling parallel computing, reducing memory accesses, and suppressing intermediate data. Nonetheless, three critical challenges remain (Fig. 31.5.2), particularly for FCNL. We overcome these problems by co-optimizing the circuits and the system. Recently, researches have been focusing on XNOR based binary-DNN structures [6]. Although they achieve a slightly higher accuracy, than other binary structures, they require a significant hardware cost (i.e. 8T-12T SRAM) to implement a CIM system. To further reduce the hardware cost, by using 6T SRAM to implement a CIM system, we employ binary DNN with 0/1-neuron and ±1-weight that was proposed in [7]. We implemented a 65nm 4Kb algorithm-dependent CIM-SRAM unit-macro and in-house binary DNN structure (focusing on FCNL with a simplified PE array), for cost-aware DNN AI edge processors. This resulted in the first binary-based CIM-SRAM macro with the fastest (2.3ns) PS operation, and the highest energy-efficiency (55.8TOPS/W) among reported CIM macros [3-4].
对于深度神经网络(DNN)处理器[1-4],乘积和(PS)运算在卷积(CNVL)和全连接(FCNL)神经网络(NN)层的计算工作量中占主导地位。这阻碍了在边缘人工智能(AI)设备上采用深度神经网络处理器,这需要低功耗、低成本和快速推理。二进制dnn[5-6]用于减少AI边缘设备的计算和硬件成本;然而,内存瓶颈仍然存在。在图31.5.1中,传统的PE阵列利用并行计算,但受到单行SRAM对权重和中间数据的低效访问的影响。内存计算(CIM)通过支持并行计算、减少内存访问和抑制中间数据来提高效率。尽管如此,三个关键的挑战仍然存在(图31.5.2),特别是对于FCNL。我们通过共同优化电路和系统来克服这些问题。近年来,基于XNOR的二元dnn结构成为研究热点[6]。虽然它们比其他二进制结构实现更高的精度,但它们需要显著的硬件成本(即8T-12T SRAM)来实现CIM系统。为了进一步降低硬件成本,通过使用6T SRAM来实现CIM系统,我们采用了[7]中提出的0/1神经元和±1权重的二进制DNN。我们实现了一个65nm 4Kb算法相关的CIM-SRAM单元宏和内部二进制DNN结构(专注于简化PE阵列的FCNL),用于成本敏感的DNN AI边缘处理器。这导致了第一个基于二进制的CIM- sram宏具有最快的(2.3ns) PS操作和最高的能源效率(55.8TOPS/W)在报道的CIM宏中[3-4]。
{"title":"A 65nm 4Kb algorithm-dependent computing-in-memory SRAM unit-macro with 2.3ns and 55.8TOPS/W fully parallel product-sum operation for binary DNN edge processors","authors":"W. Khwa, Jia-Jing Chen, Jia-Fang Li, Xin Si, En-Yu Yang, Xiaoyu Sun, Rui Liu, Pai-Yu Chen, Qiang Li, Shimeng Yu, Meng-Fan Chang","doi":"10.1109/ISSCC.2018.8310401","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310401","url":null,"abstract":"For deep-neural-network (DNN) processors [1-4], the product-sum (PS) operation predominates the computational workload for both convolution (CNVL) and fully-connect (FCNL) neural-network (NN) layers. This hinders the adoption of DNN processors to on the edge artificial-intelligence (AI) devices, which require low-power, low-cost and fast inference. Binary DNNs [5-6] are used to reduce computation and hardware costs for AI edge devices; however, a memory bottleneck still remains. In Fig. 31.5.1 conventional PE arrays exploit parallelized computation, but suffer from inefficient single-row SRAM access to weights and intermediate data. Computing-in-memory (CIM) improves efficiency by enabling parallel computing, reducing memory accesses, and suppressing intermediate data. Nonetheless, three critical challenges remain (Fig. 31.5.2), particularly for FCNL. We overcome these problems by co-optimizing the circuits and the system. Recently, researches have been focusing on XNOR based binary-DNN structures [6]. Although they achieve a slightly higher accuracy, than other binary structures, they require a significant hardware cost (i.e. 8T-12T SRAM) to implement a CIM system. To further reduce the hardware cost, by using 6T SRAM to implement a CIM system, we employ binary DNN with 0/1-neuron and ±1-weight that was proposed in [7]. We implemented a 65nm 4Kb algorithm-dependent CIM-SRAM unit-macro and in-house binary DNN structure (focusing on FCNL with a simplified PE array), for cost-aware DNN AI edge processors. This resulted in the first binary-based CIM-SRAM macro with the fastest (2.3ns) PS operation, and the highest energy-efficiency (55.8TOPS/W) among reported CIM macros [3-4].","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"11 1","pages":"496-498"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"88723243","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 156
A mm-sized free-floating wirelessly powered implantable optical stimulating system-on-a-chip 一个毫米大小的自由浮动无线供电植入式光学刺激芯片系统
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310387
Y. Jia, S. Mirbozorgi, Byunghun Lee, W. Khan, F. Madi, A. Weber, Wen Li, Maysam Ghovanloo
Thanks to its cell-type specificity, high spatiotemporal precision, and reversibility, optogenetic neuromodulation has been widely utilized in brain mapping, visual prostheses, psychological disorders, Parkinson's disease, epilepsy, and cardiac electrophysiology [1]. While a variety of optical neural interfaces have been developed, most have substantial limitations due to their size and tethering, needed to either deliver light or electricity, which may restrict the animal movements and bias the results, particularly in behavioral studies. In contrast, wirelessly powered optogenetic interfaces improve accuracy, reliability, and validity of the outcomes by eliminating tethers. Recently, a few wirelessly powered optogenetics approaches have been reported with impressive reduction in size of the implant [2]. However, their practical application is impeded by requiring high operating frequencies in GHz range, which increases the risk of exposure to unsafe electromagnetic specific absorption rates (SAR), resulting in excessive heat generation. They also lack proper control over optical stimulus characteristics. Towards this end, we propose a practical mm-sized Free-Floating Wirelessly-powered implantable Optical Stimulating (FF-WIOS) SoC to not only eliminate the tethering effects but also reduce the level of invasiveness and SAR in the tissue.
由于其细胞类型特异性、高时空精度和可逆性,光遗传神经调节已广泛应用于脑制图、视觉修复、心理障碍、帕金森病、癫痫、心脏电生理等领域[1]。虽然各种各样的光学神经接口已经被开发出来,但由于它们的大小和束缚,大多数都有很大的局限性,需要传递光或电,这可能会限制动物的运动并影响结果,特别是在行为研究中。相比之下,无线供电的光遗传接口通过消除系绳来提高结果的准确性、可靠性和有效性。最近,有报道称一些无线供电的光遗传学方法显著减小了植入物的尺寸[2]。然而,它们的实际应用受到要求在GHz范围内的高工作频率的阻碍,这增加了暴露于不安全的电磁比吸收率(SAR)的风险,导致产生过多的热量。它们也缺乏对光刺激特性的适当控制。为此,我们提出了一种实用的mm尺寸的自由浮动无线供电植入式光学刺激(FF-WIOS) SoC,不仅可以消除系带效应,还可以降低组织中的侵入性和SAR水平。
{"title":"A mm-sized free-floating wirelessly powered implantable optical stimulating system-on-a-chip","authors":"Y. Jia, S. Mirbozorgi, Byunghun Lee, W. Khan, F. Madi, A. Weber, Wen Li, Maysam Ghovanloo","doi":"10.1109/ISSCC.2018.8310387","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310387","url":null,"abstract":"Thanks to its cell-type specificity, high spatiotemporal precision, and reversibility, optogenetic neuromodulation has been widely utilized in brain mapping, visual prostheses, psychological disorders, Parkinson's disease, epilepsy, and cardiac electrophysiology [1]. While a variety of optical neural interfaces have been developed, most have substantial limitations due to their size and tethering, needed to either deliver light or electricity, which may restrict the animal movements and bias the results, particularly in behavioral studies. In contrast, wirelessly powered optogenetic interfaces improve accuracy, reliability, and validity of the outcomes by eliminating tethers. Recently, a few wirelessly powered optogenetics approaches have been reported with impressive reduction in size of the implant [2]. However, their practical application is impeded by requiring high operating frequencies in GHz range, which increases the risk of exposure to unsafe electromagnetic specific absorption rates (SAR), resulting in excessive heat generation. They also lack proper control over optical stimulus characteristics. Towards this end, we propose a practical mm-sized Free-Floating Wirelessly-powered implantable Optical Stimulating (FF-WIOS) SoC to not only eliminate the tethering effects but also reduce the level of invasiveness and SAR in the tissue.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"15 1","pages":"468-470"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90227402","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 36
A fully integrated 40pF output capacitor beat-frequency-quantizer-based digital LDO with built-in adaptive sampling and active voltage positioning 一个完全集成的40pF输出电容,基于热频率量化器的数字LDO,内置自适应采样和主动电压定位
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310307
Somnath Kundu, Muqing Liu, R. Wong, Shi-Jie Wen, C. Kim
Integrated voltage regulators with a wide output current/voltage dynamic range are required to support fast dynamic voltage and frequency scaling (DVFS). Low Dropout Regulators (LDOs) based on digital-intensive circuits have been gaining popularity [1]-[4] due to their compactness, process scalability, high immunity to process-voltage-temperature (PVT) variations and easy programmability for design optimization. Conventional digital LDOs utilizing a comparator and shift-registers [1] suffer from a slow response time during a large/fast change in load current (Iload). Higher sampling frequency (fS) improves the response time, but at the cost of increased power consumption and reduced loop stability. Multi-bit quantizers utilizing ADCs [2-4] can reduce the settling time, however, the presence of a high resolution ADC and the control logic increases the design complexity. Moreover, the ADC resolution limits the maximum fS. In order to overcome the trade-off between speed and power, adaptive sampling techniques were incorporated in [1], [4]. But the overhead of multiple VCOs operating simultaneously and a separate overshoot/droop detection circuitry [1], or an event-driven controller with 7b ADC [4], increase the complexity and power consumption. Furthermore, none of the previous designs incorporated active voltage positioning (AVP), a popular ripple-suppression technique, whereby the LDO output is set slightly above (in low-activity state) or below (in high-activity state) the reference voltage depending on the processor workload conditions [5].
需要具有宽输出电流/电压动态范围的集成电压调节器来支持快速动态电压和频率缩放(DVFS)。基于数字密集型电路的低差稳压器(LDOs)由于其紧凑性、工艺可扩展性、对工艺电压温度(PVT)变化的高抗扰性以及易于编程的设计优化性而越来越受欢迎[1]-[4]。使用比较器和移位寄存器的传统数字ldo[1]在负载电流(ilload)大/快变化时响应时间较慢。更高的采样频率(fS)改善了响应时间,但代价是增加了功耗和降低了环路稳定性。利用ADC的多位量化器[2-4]可以减少稳定时间,然而,高分辨率ADC和控制逻辑的存在增加了设计的复杂性。此外,ADC分辨率限制了最大fS。为了克服速度和功率之间的权衡,自适应采样技术被纳入[1],[4]。但是,多个压控振荡器同时工作以及单独的超调/下垂检测电路[1]或带有7b ADC的事件驱动控制器[4]的开销增加了复杂性和功耗。此外,之前的设计都没有采用有源电压定位(AVP),这是一种流行的纹波抑制技术,根据处理器工作负载条件,LDO输出被设置为略高于(低活动状态)或低于(高活动状态)参考电压[5]。
{"title":"A fully integrated 40pF output capacitor beat-frequency-quantizer-based digital LDO with built-in adaptive sampling and active voltage positioning","authors":"Somnath Kundu, Muqing Liu, R. Wong, Shi-Jie Wen, C. Kim","doi":"10.1109/ISSCC.2018.8310307","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310307","url":null,"abstract":"Integrated voltage regulators with a wide output current/voltage dynamic range are required to support fast dynamic voltage and frequency scaling (DVFS). Low Dropout Regulators (LDOs) based on digital-intensive circuits have been gaining popularity [1]-[4] due to their compactness, process scalability, high immunity to process-voltage-temperature (PVT) variations and easy programmability for design optimization. Conventional digital LDOs utilizing a comparator and shift-registers [1] suffer from a slow response time during a large/fast change in load current (Iload). Higher sampling frequency (fS) improves the response time, but at the cost of increased power consumption and reduced loop stability. Multi-bit quantizers utilizing ADCs [2-4] can reduce the settling time, however, the presence of a high resolution ADC and the control logic increases the design complexity. Moreover, the ADC resolution limits the maximum fS. In order to overcome the trade-off between speed and power, adaptive sampling techniques were incorporated in [1], [4]. But the overhead of multiple VCOs operating simultaneously and a separate overshoot/droop detection circuitry [1], or an event-driven controller with 7b ADC [4], increase the complexity and power consumption. Furthermore, none of the previous designs incorporated active voltage positioning (AVP), a popular ripple-suppression technique, whereby the LDO output is set slightly above (in low-activity state) or below (in high-activity state) the reference voltage depending on the processor workload conditions [5].","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"8 1","pages":"308-310"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90383682","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 25
A 0.96mA quiescent current, 0.0032% THD+N, 1.45W Class-D audio amplifier with area-efficient PWM-residual-aliasing reduction 一个0.96mA静态电流,0.0032% THD+N, 1.45W的d类音频放大器,具有面积高效的pwm残余混叠抑制
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310183
Shih-Hsiung Chien, Yi-Wen Chen, T. Kuo
Low quiescent current (IQ) is critical for Class-D audio amplifiers in mobile devices to extend battery usage time [1], since typical audio signals have a high crest factor of 10 to 20dB. In addition, low distortion is also important for audio fidelity. Distortion sources in closed-loop Class-D amplifiers can be classified into two types. One is attributed to the nonlinearities of PWM modulators and power stages, while the other is due to the aliasing of fed-back PWM high-frequency residuals, the latter of which comprises phase-error and duty-cycle-error distortions [2]. Figure 3.6.1 shows 2nd-order closed-loop amplifiers and existing techniques for enhancing an amplifier's linearity. Increasing the loop filter order to obtain a higher in-band loop gain by using more integrators [3] or the single-amplifier-biquad [4] suppresses all aforementioned distortions except for the phase-error distortion, which can be suppressed by adding a phase-error-free PWM modulator [2]. However, these techniques increase IQ and/or die area due to the additional active circuits and/or several resistors and capacitors. Since phase-error distortion, as well as duty-cycle-error distortion, is caused by the fed-back PWM high-frequency residuals aliasing with the reference triangular wave VTRI, a uniform PWM [5] with a sample-and-hold circuit implemented before the PWM modulation reduces the PWM residuals via an equivalent notch filtering. However, loop stability is affected by the notch filtering unless the PWM switching frequency fSW is increased, but doing so increases power consumption [4]. Though the technique in [1] uses a feed-forward path with a replicated loop filter to eliminate the PWM residuals without affecting loop stability, the replicated loop filter increases both IQ and area.
低静态电流(IQ)对于移动设备中的d类音频放大器延长电池使用时间至关重要[1],因为典型的音频信号具有10至20dB的高波峰因数。此外,低失真对音频保真度也很重要。闭环d类放大器中的失真源可分为两类。一种是由于PWM调制器和功率级的非线性,另一种是由于反馈PWM高频残差的混叠,后者包括相位误差和占空比误差畸变[2]。图3.6.1显示了二阶闭环放大器和现有的增强放大器线性度的技术。通过使用更多的积分器[3]或单放大器双放大器[4]来增加环路滤波器以获得更高的带内环路增益,可以抑制除相位误差畸变外的所有上述畸变,相位误差畸变可以通过添加无相位误差PWM调制器[2]来抑制。然而,由于额外的有源电路和/或几个电阻和电容器,这些技术增加了IQ和/或芯片面积。由于相位误差失真和占空比误差失真是由反馈PWM高频残差与参考三角波VTRI混叠引起的,因此在PWM调制之前实现均匀PWM[5],并采用采样保持电路,通过等效陷波滤波降低PWM残差。然而,除非增加PWM开关频率fSW,否则陷波滤波会影响环路稳定性,但这样做会增加功耗[4]。虽然[1]中的技术使用带有复制环路滤波器的前馈路径来消除PWM残差而不影响环路稳定性,但复制环路滤波器同时增加了IQ和面积。
{"title":"A 0.96mA quiescent current, 0.0032% THD+N, 1.45W Class-D audio amplifier with area-efficient PWM-residual-aliasing reduction","authors":"Shih-Hsiung Chien, Yi-Wen Chen, T. Kuo","doi":"10.1109/ISSCC.2018.8310183","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310183","url":null,"abstract":"Low quiescent current (IQ) is critical for Class-D audio amplifiers in mobile devices to extend battery usage time [1], since typical audio signals have a high crest factor of 10 to 20dB. In addition, low distortion is also important for audio fidelity. Distortion sources in closed-loop Class-D amplifiers can be classified into two types. One is attributed to the nonlinearities of PWM modulators and power stages, while the other is due to the aliasing of fed-back PWM high-frequency residuals, the latter of which comprises phase-error and duty-cycle-error distortions [2]. Figure 3.6.1 shows 2nd-order closed-loop amplifiers and existing techniques for enhancing an amplifier's linearity. Increasing the loop filter order to obtain a higher in-band loop gain by using more integrators [3] or the single-amplifier-biquad [4] suppresses all aforementioned distortions except for the phase-error distortion, which can be suppressed by adding a phase-error-free PWM modulator [2]. However, these techniques increase IQ and/or die area due to the additional active circuits and/or several resistors and capacitors. Since phase-error distortion, as well as duty-cycle-error distortion, is caused by the fed-back PWM high-frequency residuals aliasing with the reference triangular wave VTRI, a uniform PWM [5] with a sample-and-hold circuit implemented before the PWM modulation reduces the PWM residuals via an equivalent notch filtering. However, loop stability is affected by the notch filtering unless the PWM switching frequency fSW is increased, but doing so increases power consumption [4]. Though the technique in [1] uses a feed-forward path with a replicated loop filter to eliminate the PWM residuals without affecting loop stability, the replicated loop filter increases both IQ and area.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"28 1","pages":"60-62"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"78834447","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
A 10MHz time-domain-controlled current-mode buck converter with 8.5% to 93% switching duty cycle 10MHz时域控制电流模式降压转换器,开关占空比为8.5%至93%
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310365
Jin-Gyu Kang, Min-Gyu Jeong, Jeongpyo Park, C. Yoo
Current-mode DC-DC converters offer various advantages over voltage-mode DC-DC converters such as much simpler frequency compensation, automatic over-current protection, and faster transient response [1,2]. For current-mode control, however, an accurate inductor current sensor is required which can be very sensitive to noise. Another concern in designing a current-mode DC-DC converter is the instability under certain operating conditions known as subharmonic oscillation. A peak-current-mode buck converter, for example, may become unstable when its switching duty cycle is larger than 50% and slope compensation is required to ensure stable operation. While both current-mode and voltage-mode DC-DC converters are conventionally controlled by voltage-domain controllers that use voltage signals as control variables, the works in [3] and [4] have shown that voltage-mode DC-DC converters can also be controlled by time-domain controllers consisting of only time-domain circuits such as voltage-controlled oscillators, voltage-controlled delay lines, and phase detectors (PD). Because time-domain controllers do not use any wide-bandwidth error amplifier, voltage comparator, and passive RC filter required for conventional voltage-domain controllers, they consume much less power and occupy smaller silicon area.
与电压型DC-DC转换器相比,电流型DC-DC转换器具有多种优势,如更简单的频率补偿、自动过流保护和更快的瞬态响应[1,2]。然而,对于电流模式控制,需要精确的电感电流传感器,它对噪声非常敏感。设计电流型DC-DC变换器的另一个问题是在某些工作条件下的不稳定性,即次谐波振荡。例如,当峰值电流型降压变换器的开关占空比大于50%时,变换器可能变得不稳定,需要进行斜率补偿以保证稳定运行。虽然电流模式和电压模式DC-DC转换器通常由使用电压信号作为控制变量的电压域控制器控制,但[3]和[4]中的工作表明,电压模式DC-DC转换器也可以由仅由时域电路(如压控振荡器、压控延迟线和相位检测器(PD))组成的时域控制器控制。因为时域控制器不使用任何宽带误差放大器,电压比较器和无源RC滤波器所需的传统电压域控制器,他们消耗更少的功率和占用更小的硅面积。
{"title":"A 10MHz time-domain-controlled current-mode buck converter with 8.5% to 93% switching duty cycle","authors":"Jin-Gyu Kang, Min-Gyu Jeong, Jeongpyo Park, C. Yoo","doi":"10.1109/ISSCC.2018.8310365","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310365","url":null,"abstract":"Current-mode DC-DC converters offer various advantages over voltage-mode DC-DC converters such as much simpler frequency compensation, automatic over-current protection, and faster transient response [1,2]. For current-mode control, however, an accurate inductor current sensor is required which can be very sensitive to noise. Another concern in designing a current-mode DC-DC converter is the instability under certain operating conditions known as subharmonic oscillation. A peak-current-mode buck converter, for example, may become unstable when its switching duty cycle is larger than 50% and slope compensation is required to ensure stable operation. While both current-mode and voltage-mode DC-DC converters are conventionally controlled by voltage-domain controllers that use voltage signals as control variables, the works in [3] and [4] have shown that voltage-mode DC-DC converters can also be controlled by time-domain controllers consisting of only time-domain circuits such as voltage-controlled oscillators, voltage-controlled delay lines, and phase detectors (PD). Because time-domain controllers do not use any wide-bandwidth error amplifier, voltage comparator, and passive RC filter required for conventional voltage-domain controllers, they consume much less power and occupy smaller silicon area.","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"14 1","pages":"424-426"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"83357317","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
A 0.53pJK2 7000μm2 resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS 一个基于0.53pJK2 7000μm2电阻的温度传感器,误差为±0.35°C (3σ)
Pub Date : 2018-03-08 DOI: 10.1109/ISSCC.2018.8310314
Woojun Choi, Yongtae Lee, Seonhong Kim, Sanghoon Lee, Jieun Jang, J. Chun, K. Makinwa, Youngcheol Chae
In microprocessors and DRAMs, on-chip temperature sensors are essential components, ensuring reliability by monitoring thermal gradients and hot spots. Such sensors must be as small as possible, since multiple sensors are required for dense thermal monitoring. However, conventional BJT-based temperature sensors are not compatible with the sub-1V supply of advanced processes. Subthreshold MOSFETs can operate from lower supplies, but at high temperatures their performance is limited by leakage [1,2]. Thermal diffusivity (TD) sensors achieve sub-1V operation and small area with moderate accuracy, but require milliwatts of power [3]. Recently, resistor-based sensors based on RC WienBridge (WB) filters have realized high resolution and energy efficiency [4,5]. Fundamentally, they are robust to process and supply-voltage scaling. However, their readout circuitry has been based on continuous-time (CT) ΔΣ ADCs or frequency-locked loops (FLLs), which require precision analog circuits and occupy considerable area (>0.7mm2).
在微处理器和dram中,片上温度传感器是必不可少的组件,通过监测热梯度和热点来确保可靠性。这种传感器必须尽可能小,因为密集的热监测需要多个传感器。然而,传统的基于bjt的温度传感器与先进工艺的sub-1V电源不兼容。亚阈值mosfet可以在较低的电源下工作,但在高温下,它们的性能受到泄漏的限制[1,2]。热扩散率(TD)传感器可实现低于1v的工作,面积小,精度适中,但需要毫瓦功率。近年来,基于RC WienBridge (WB)滤波器的电阻传感器实现了高分辨率和高能效[4,5]。从根本上说,它们对工艺和电源电压缩放具有鲁棒性。然而,它们的读出电路一直基于连续时间(CT) ΔΣ adc或锁频环路(fll),这需要精确的模拟电路,并占用相当大的面积(>.7 mm2)。
{"title":"A 0.53pJK2 7000μm2 resistor-based temperature sensor with an inaccuracy of ±0.35°C (3σ) in 65nm CMOS","authors":"Woojun Choi, Yongtae Lee, Seonhong Kim, Sanghoon Lee, Jieun Jang, J. Chun, K. Makinwa, Youngcheol Chae","doi":"10.1109/ISSCC.2018.8310314","DOIUrl":"https://doi.org/10.1109/ISSCC.2018.8310314","url":null,"abstract":"In microprocessors and DRAMs, on-chip temperature sensors are essential components, ensuring reliability by monitoring thermal gradients and hot spots. Such sensors must be as small as possible, since multiple sensors are required for dense thermal monitoring. However, conventional BJT-based temperature sensors are not compatible with the sub-1V supply of advanced processes. Subthreshold MOSFETs can operate from lower supplies, but at high temperatures their performance is limited by leakage [1,2]. Thermal diffusivity (TD) sensors achieve sub-1V operation and small area with moderate accuracy, but require milliwatts of power [3]. Recently, resistor-based sensors based on RC WienBridge (WB) filters have realized high resolution and energy efficiency [4,5]. Fundamentally, they are robust to process and supply-voltage scaling. However, their readout circuitry has been based on continuous-time (CT) ΔΣ ADCs or frequency-locked loops (FLLs), which require precision analog circuits and occupy considerable area (>0.7mm2).","PeriodicalId":6617,"journal":{"name":"2018 IEEE International Solid - State Circuits Conference - (ISSCC)","volume":"13 1","pages":"322-324"},"PeriodicalIF":0.0,"publicationDate":"2018-03-08","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"89621224","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 16
期刊
2018 IEEE International Solid - State Circuits Conference - (ISSCC)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1