首页 > 最新文献

2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)最新文献

英文 中文
A Fast Two’s Complement Generator 一个快速的二进制补语发生器
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660329
Wanting Liu, Xiqin Tang, Yang Li, Zhijun Wang, Fei Xia, Shushan Qiao, Yumei Zhou, D. Shang
The two’s complement of a number is defined as the one’s complement of that number plus 1, while the addition operation tends to consume more resources and power. This paper proposes a novel two’s complement generator without involving addition, which can solve this problem. The results show the proposed solution can simplify design, improve performance and reduce power compared with traditional adder-based solutions. In addition, the new design is based on regular cells and fully modular, which leads to good scalability and straightforward assembly into large systems. Furthermore, the proposed design supports multi-functions, and it is also suited for use in arithmetic units, including adders, multipliers to speed up two’s complement operations.
一个数的二补数定义为该数的一补数加1,而加法运算往往消耗更多的资源和功率。本文提出了一种不涉及加法的二补码生成器,解决了这一问题。结果表明,与传统的基于加法器的方案相比,该方案可以简化设计,提高性能,降低功耗。此外,新设计基于规则单元和完全模块化,这导致了良好的可扩展性和直接组装成大型系统。此外,所提出的设计支持多功能,它也适用于算术单元,包括加法器,乘法器,以加快2的补运算。
{"title":"A Fast Two’s Complement Generator","authors":"Wanting Liu, Xiqin Tang, Yang Li, Zhijun Wang, Fei Xia, Shushan Qiao, Yumei Zhou, D. Shang","doi":"10.1109/ICICM54364.2021.9660329","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660329","url":null,"abstract":"The two’s complement of a number is defined as the one’s complement of that number plus 1, while the addition operation tends to consume more resources and power. This paper proposes a novel two’s complement generator without involving addition, which can solve this problem. The results show the proposed solution can simplify design, improve performance and reduce power compared with traditional adder-based solutions. In addition, the new design is based on regular cells and fully modular, which leads to good scalability and straightforward assembly into large systems. Furthermore, the proposed design supports multi-functions, and it is also suited for use in arithmetic units, including adders, multipliers to speed up two’s complement operations.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"91481251","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 12.5Gbps PI-based Quarter-Rate Clock and Data Recovery Circuit with an Adaptive filter of JESD204B Standard 带JESD204B标准自适应滤波器的12.5Gbps pi时钟和数据恢复电路
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660263
Bo Liu, Zongmin Wang, Tieliang Zhang, Lei Zhang, Song Yang, Long Yang
The JESD204B is a serializer interface between data converters and logic device. Clock and data recovery (CDR) circuit is one of the core circuits of high-speed serial interface. This paper presents a 12. 5Gbps phase interpolator (PI)-based quarter-rate CDR ofJESD204B interface. After detailed loop analysis, this work puts forward a novel first-order CDR loop with adaptive filtering coefficient. The filter coefficient can be adjusted with the frequency offset and phase error which could reduce the jitter of recovery clock and data and make the loop have strong frequency offset tracking ability. This CDR occupies area of 0.4mm2 and consumes a power of 34mW with a supply voltage 1. 2V in TSMC 65nm CMOS technology. The post simulation result shows that the frequency offset tracking range is 300ppm with 12. 5Gbps data rate. The jitter of recovered data and recovery clock are 0. 006UI and 0. 012UI when there is no jitter in the input data.
JESD204B是数据转换器和逻辑器件之间的串行接口。时钟与数据恢复(CDR)电路是高速串行接口的核心电路之一。本文提出了一个12。基于5Gbps相位插值器(PI)的jesd204b接口四分之一速率CDR。经过详细的环路分析,本文提出了一种具有自适应滤波系数的一阶CDR环路。滤波器系数可以根据频偏和相位误差进行调节,减小了恢复时钟和数据的抖动,使环路具有较强的频偏跟踪能力。该CDR占地面积0.4mm2,电源电压为1,功耗为34mW。2V采用台积电65nm CMOS技术。后置仿真结果表明,频率偏移跟踪范围为300ppm。5Gbps数据速率。恢复数据抖动为0,恢复时钟为0。006UI和0。012UI输入数据无抖动时。
{"title":"A 12.5Gbps PI-based Quarter-Rate Clock and Data Recovery Circuit with an Adaptive filter of JESD204B Standard","authors":"Bo Liu, Zongmin Wang, Tieliang Zhang, Lei Zhang, Song Yang, Long Yang","doi":"10.1109/ICICM54364.2021.9660263","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660263","url":null,"abstract":"The JESD204B is a serializer interface between data converters and logic device. Clock and data recovery (CDR) circuit is one of the core circuits of high-speed serial interface. This paper presents a 12. 5Gbps phase interpolator (PI)-based quarter-rate CDR ofJESD204B interface. After detailed loop analysis, this work puts forward a novel first-order CDR loop with adaptive filtering coefficient. The filter coefficient can be adjusted with the frequency offset and phase error which could reduce the jitter of recovery clock and data and make the loop have strong frequency offset tracking ability. This CDR occupies area of 0.4mm2 and consumes a power of 34mW with a supply voltage 1. 2V in TSMC 65nm CMOS technology. The post simulation result shows that the frequency offset tracking range is 300ppm with 12. 5Gbps data rate. The jitter of recovered data and recovery clock are 0. 006UI and 0. 012UI when there is no jitter in the input data.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80687674","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analysis Report of A Phase CVT Fault in 220kV Auxiliary Section I of 500kV Substation 500kV变电站220kV副一段单相无级变速器故障分析报告
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660270
Yue Bing, Yang Zhi, Zhou Luyao, Zhao Lin, Lin Haofan, Yang Yong
An accident occurred in the substation due to a 220 kV voltage transformer failure that caused a bus differential protection alarm. This article comprehensively analyzes the cause of the failure based on the cause of the incident, the on-site test and inspection situation and the disassembly situation of the factory. The article comprehensively uses protection monitoring waveform analysis, infrared temperature measurement and field test to carry out failure mechanism analysis, and provides reference opinions for subsequent maintenance and repair of related products of the same type to improve equipment operation and maintenance level.
220kv电压互感器故障引起母线差动保护报警,变电站发生事故。本文结合事故原因、现场试验检验情况和工厂拆装情况,对故障原因进行了综合分析。本文综合运用保护监测波形分析、红外测温、现场试验等方法进行故障机理分析,为后续同类型相关产品的维护维修提供参考意见,提高设备运维水平。
{"title":"Analysis Report of A Phase CVT Fault in 220kV Auxiliary Section I of 500kV Substation","authors":"Yue Bing, Yang Zhi, Zhou Luyao, Zhao Lin, Lin Haofan, Yang Yong","doi":"10.1109/ICICM54364.2021.9660270","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660270","url":null,"abstract":"An accident occurred in the substation due to a 220 kV voltage transformer failure that caused a bus differential protection alarm. This article comprehensively analyzes the cause of the failure based on the cause of the incident, the on-site test and inspection situation and the disassembly situation of the factory. The article comprehensively uses protection monitoring waveform analysis, infrared temperature measurement and field test to carry out failure mechanism analysis, and provides reference opinions for subsequent maintenance and repair of related products of the same type to improve equipment operation and maintenance level.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"77874885","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design and Simulation of a Tunnel Magnetoresistive Accelerometer Based on Electrostatic Force Feedback 基于静电力反馈的隧道磁阻加速度计的设计与仿真
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660227
Xinru Chen, Bo Yang, Cheng Li, Xinxing Guo
The tunnel magnetoresistive (TMR) accelerometer is a new generation of high-precision inertial sensitive devices. In this work, a micromechanical TMR accelerometer based on electrostatic force feedback is proposed. A permanent magnet film is connected with the seismic mass. The input acceleration leads to a certain displacement of the sensitive structure, thereby causes the change of the magnetic field strength. The magnitude of the acceleration is obtained by detecting the evolution of the magnetic field. On the other hand, the feedback force that pulls the mass back to the initial position is continuously generated, therefore, the mass is always in an equilibrium position. According to the simulation analysis, the simulated sensitivity of the sensitive structure is 125.6um/g and the maximum value of the magnetic field intensity changing with the displacement is 0.1mT/mm. Consequently, the mechanical sensitivity of the micromechanical accelerometer in our proposal design is 12.56uT/g. With the effective electrostatic force feedback structure design, the proposed tunnel magnetoresistive accelerometer has a more extensive dynamic range and remarkable stability.
隧道磁阻(TMR)加速度计是新一代高精度惯性敏感器件。本文提出了一种基于静电力反馈的微机械TMR加速度计。一个永磁体薄膜与地震体相连接。输入加速度导致敏感结构发生一定位移,从而引起磁场强度的变化。加速度的大小是通过探测磁场的演变得到的。另一方面,不断产生将质量拉回初始位置的反馈力,因此,质量始终处于平衡位置。通过仿真分析,该敏感结构的模拟灵敏度为125.6um/g,磁场强度随位移变化的最大值为0.1mT/mm。因此,我们设计的微机械加速度计的机械灵敏度为12.56uT/g。采用有效的静电力反馈结构设计,使隧道磁阻加速度计具有更广泛的动态范围和显著的稳定性。
{"title":"Design and Simulation of a Tunnel Magnetoresistive Accelerometer Based on Electrostatic Force Feedback","authors":"Xinru Chen, Bo Yang, Cheng Li, Xinxing Guo","doi":"10.1109/ICICM54364.2021.9660227","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660227","url":null,"abstract":"The tunnel magnetoresistive (TMR) accelerometer is a new generation of high-precision inertial sensitive devices. In this work, a micromechanical TMR accelerometer based on electrostatic force feedback is proposed. A permanent magnet film is connected with the seismic mass. The input acceleration leads to a certain displacement of the sensitive structure, thereby causes the change of the magnetic field strength. The magnitude of the acceleration is obtained by detecting the evolution of the magnetic field. On the other hand, the feedback force that pulls the mass back to the initial position is continuously generated, therefore, the mass is always in an equilibrium position. According to the simulation analysis, the simulated sensitivity of the sensitive structure is 125.6um/g and the maximum value of the magnetic field intensity changing with the displacement is 0.1mT/mm. Consequently, the mechanical sensitivity of the micromechanical accelerometer in our proposal design is 12.56uT/g. With the effective electrostatic force feedback structure design, the proposed tunnel magnetoresistive accelerometer has a more extensive dynamic range and remarkable stability.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76351664","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 28-GHz Current-Mode Inverse-Outphasing Power Amplifier in 65-nm CMOS 一种基于65nm CMOS的28 ghz电流模反缺相功率放大器
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660333
Liang-Hui Li, Dongliang Ni, Jiazheng Chen, Jiwei Huang
In this paper, a 28-GHz high efficiency outphasing power amplifier (PA) with Chireix compensation in 65-nm Silicon-On-Insulator (SOI) CMOS technology is proposed. To improve the power-back-off (PBO) efficiency, the PA uses a current-mode inverse outphasing architecture, which supports compatibility with current-mode PAs, highly efficient active load modulation. Meanwhile, the neutralization capacitor and source degeneration inductor technology is employed to tradeoff linearity and high efficiency requirements. At 28GHz with a supply voltage of 2.5/1.2V, the complete outphasing PA achieves a simulated saturated output power of 23.8dBm with 45.1% power-added efficiency (PAE) and 6dB back-off PAE of 25.2%, 1-dB compression output power of 21.8dBm, and gain of 16.6dB. The simulation results also show that the PA is unconditionally stable in the whole working frequency band. The power amplifier has a layout size of 1.02 mm2 and a core area of 0.46 mm2.
提出了一种基于65纳米绝缘体上硅(SOI) CMOS技术的28 ghz高效同相功率放大器(PA)。为了提高PBO (power-back-off)效率,PA采用电流模式反相架构,支持兼容电流模式PA,高效的有源负载调制。同时,采用中和电容和源退化电感技术来平衡线性度和高效率要求。在28GHz,电源电压为2.5/1.2V时,完全同相放大器的模拟饱和输出功率为23.8dBm,功率附加效率(PAE)为45.1%,6dB回退PAE为25.2%,1 db压缩输出功率为21.8dBm,增益为16.6dB。仿真结果还表明,该滤波器在整个工作频带内是无条件稳定的。功率放大器的布局尺寸为1.02 mm2,核心面积为0.46 mm2。
{"title":"A 28-GHz Current-Mode Inverse-Outphasing Power Amplifier in 65-nm CMOS","authors":"Liang-Hui Li, Dongliang Ni, Jiazheng Chen, Jiwei Huang","doi":"10.1109/ICICM54364.2021.9660333","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660333","url":null,"abstract":"In this paper, a 28-GHz high efficiency outphasing power amplifier (PA) with Chireix compensation in 65-nm Silicon-On-Insulator (SOI) CMOS technology is proposed. To improve the power-back-off (PBO) efficiency, the PA uses a current-mode inverse outphasing architecture, which supports compatibility with current-mode PAs, highly efficient active load modulation. Meanwhile, the neutralization capacitor and source degeneration inductor technology is employed to tradeoff linearity and high efficiency requirements. At 28GHz with a supply voltage of 2.5/1.2V, the complete outphasing PA achieves a simulated saturated output power of 23.8dBm with 45.1% power-added efficiency (PAE) and 6dB back-off PAE of 25.2%, 1-dB compression output power of 21.8dBm, and gain of 16.6dB. The simulation results also show that the PA is unconditionally stable in the whole working frequency band. The power amplifier has a layout size of 1.02 mm2 and a core area of 0.46 mm2.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"76369369","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An Equivalent Circuit of Hot Carrier Injection in Short-channel N-MOSFET 短沟道N-MOSFET热载流子注入等效电路
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660244
Jun’an Zhang, Jinxin Hu, Tiehu Li
This paper presented an equivalent circuit based on a 65nm NMOS model to simulate the hot carrier injection (HCI) effect. Under HCI effect, many electrical characteristics such as threshold voltage, trans conductance, drain-source current, gate leakage current, etc, will be obviously changed during a long period of operation time and under different voltage stress. The method of directly modifying SPICE model to simulate HCI effect is complex, and it may lead to non-convergence. Based on an NMOSFET model of a 65nm CMOS PDK, adding some common electrical components and arithmetic units to form an equivalent circuit is a practical way. This model has 4 input parameters, such as width of gate (W), length of gate (L), environment temperature (Temp), operation period (Year). The voltage stress of drain source, drain-gate, gate-source are also considered in this model. The simulation results show that the electrical performance of NMOS transistor under HCI is fitted many measured data of published papers. This equivalent circuit model can be used in the integrated circuit to estimate the effect of HCI.
本文提出了一种基于65nm NMOS模型的等效电路来模拟热载流子注入(HCI)效应。在HCI效应下,在较长的工作时间和不同的电压应力下,阈值电压、跨导、漏源电流、栅漏电流等许多电特性都会发生明显的变化。直接修改SPICE模型来模拟HCI效应的方法复杂,且可能导致不收敛。基于65nm CMOS PDK的NMOSFET模型,加入一些常用的电子元件和运算单元组成等效电路是一种实用的方法。该机型有4个输入参数,如栅极宽度(W)、栅极长度(L)、环境温度(Temp)、运行周期(Year)。该模型还考虑了漏源、漏极-栅极、栅极-栅极的电压应力。仿真结果表明,NMOS晶体管在HCI条件下的电性能与许多已发表论文的测量数据吻合良好。该等效电路模型可用于集成电路中对HCI的影响进行估计。
{"title":"An Equivalent Circuit of Hot Carrier Injection in Short-channel N-MOSFET","authors":"Jun’an Zhang, Jinxin Hu, Tiehu Li","doi":"10.1109/ICICM54364.2021.9660244","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660244","url":null,"abstract":"This paper presented an equivalent circuit based on a 65nm NMOS model to simulate the hot carrier injection (HCI) effect. Under HCI effect, many electrical characteristics such as threshold voltage, trans conductance, drain-source current, gate leakage current, etc, will be obviously changed during a long period of operation time and under different voltage stress. The method of directly modifying SPICE model to simulate HCI effect is complex, and it may lead to non-convergence. Based on an NMOSFET model of a 65nm CMOS PDK, adding some common electrical components and arithmetic units to form an equivalent circuit is a practical way. This model has 4 input parameters, such as width of gate (W), length of gate (L), environment temperature (Temp), operation period (Year). The voltage stress of drain source, drain-gate, gate-source are also considered in this model. The simulation results show that the electrical performance of NMOS transistor under HCI is fitted many measured data of published papers. This equivalent circuit model can be used in the integrated circuit to estimate the effect of HCI.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"80186917","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 13-bit Hybrid Interpolated SAR ADC 一个13位混合插值SAR ADC
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660340
Yiqun Wang, Peng Miao, Fei Li, Huan Wang, Bowen Ding, Weiqi Gu
In order to adapt to the application of electronic devices such as wideband receivers, high-precision and high-speed ADCs have become a hot research topic. In this paper, a 13-bit successive approximation analog-to-digital converter (SAR ADC) with a conversion rate of 500-MS/s is introduced. The voltage domain interpolation (Interpolated) technique is used to achieve 4 bits per conversion, and the number of reduced comparators is reduced to half by using the time domain interpolation structure. The SAR ADC redundancy correction technique based on the pipelined ADC redundancy correction principle is investigated and discussed, allowing the ADC to have ± 0.5 LSB misalignment per conversion.
为了适应宽带接收机等电子器件的应用,高精度高速adc成为研究的热点。本文介绍了一种转换速率为500-MS/s的13位逐次逼近模数转换器(SAR ADC)。采用电压域插值(Interpolated)技术实现每转换4位,并采用时域插值结构将减少的比较器数量减少一半。研究和讨论了基于流水线式ADC冗余校正原理的SAR ADC冗余校正技术,该技术允许ADC每次转换误差为±0.5 LSB。
{"title":"A 13-bit Hybrid Interpolated SAR ADC","authors":"Yiqun Wang, Peng Miao, Fei Li, Huan Wang, Bowen Ding, Weiqi Gu","doi":"10.1109/ICICM54364.2021.9660340","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660340","url":null,"abstract":"In order to adapt to the application of electronic devices such as wideband receivers, high-precision and high-speed ADCs have become a hot research topic. In this paper, a 13-bit successive approximation analog-to-digital converter (SAR ADC) with a conversion rate of 500-MS/s is introduced. The voltage domain interpolation (Interpolated) technique is used to achieve 4 bits per conversion, and the number of reduced comparators is reduced to half by using the time domain interpolation structure. The SAR ADC redundancy correction technique based on the pipelined ADC redundancy correction principle is investigated and discussed, allowing the ADC to have ± 0.5 LSB misalignment per conversion.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"85254847","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 40Gb/s PAM4 Transmitter with 3-tap FSE for Serial Link System 一个40Gb/s PAM4发射机与3分接FSE串行链路系统
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660316
Yan Wang, Qingsheng Hu, Xinyu Song
This paper presents a 40Gb/s PAM4 transmitter with a 3-tap fractionally-spaced equalizer (FSE) in 65nm CMOS technology. In order to improve the signal quality, a FSE instead of a symbol-space equalizer (SSE) is realized. In addition, the nonlinearity between the high and low bit output current is reduced by employing low-voltage cascode current source. Post simulation result shows that by boosting the high frequency components effectively, PAM4 signals with clear eye-diagram can be obtained at receiver for a channel with 6.45 dB attenuation @10 GHz. The minimum vertical and horizontal opening of the eye diagram is about 154 mVpp and near 0.6UI. The total area of the transmitter is about 705μm × 495μm including I/O pads and the power consumption is about 72mW under 1.2V power supply.
本文介绍了一种采用65nm CMOS技术的40Gb/s PAM4发射机,该发射机具有3分路分数间隔均衡器(FSE)。为了提高信号质量,采用FSE代替符号空间均衡器(SSE)。此外,通过采用低压级联电流源,降低了高低位输出电流之间的非线性。后置仿真结果表明,通过对高频分量的有效增强,可以在接收机处获得具有清晰眼图的PAM4信号,信道衰减为6.45 dB @10 GHz。眼图的最小垂直和水平开口约为154 mVpp,接近0.6UI。包括I/O垫在内,发射机的总面积约为705μm × 495μm,在1.2V电源下,功耗约为72mW。
{"title":"A 40Gb/s PAM4 Transmitter with 3-tap FSE for Serial Link System","authors":"Yan Wang, Qingsheng Hu, Xinyu Song","doi":"10.1109/ICICM54364.2021.9660316","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660316","url":null,"abstract":"This paper presents a 40Gb/s PAM4 transmitter with a 3-tap fractionally-spaced equalizer (FSE) in 65nm CMOS technology. In order to improve the signal quality, a FSE instead of a symbol-space equalizer (SSE) is realized. In addition, the nonlinearity between the high and low bit output current is reduced by employing low-voltage cascode current source. Post simulation result shows that by boosting the high frequency components effectively, PAM4 signals with clear eye-diagram can be obtained at receiver for a channel with 6.45 dB attenuation @10 GHz. The minimum vertical and horizontal opening of the eye diagram is about 154 mVpp and near 0.6UI. The total area of the transmitter is about 705μm × 495μm including I/O pads and the power consumption is about 72mW under 1.2V power supply.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"90143600","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Analysis of Time Domain Characteristic of Transformer On-load Tap-changer 变压器有载分接开关时域特性分析
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660290
Yuqi Bing, Zhao Lin, Lin Haofan, Yang Yong
Abstract-On-load tap-changer is a switching device that provides a constant voltage for a transformer when the load changes. The basic principle is to realize the switching between taps in the transformer winding without interrupting the load current, thereby changing the number of winding turns, that is, the voltage ratio of the transformer, and finally achieving the purpose of voltage regulation. In this paper, the characteristic parameters are collected by time domain analysis method, which provides the basis for discriminating the later fault warning.
有载分接开关是一种在负载变化时为变压器提供恒定电压的开关装置。其基本原理是在不中断负载电流的情况下,实现变压器绕组中抽头之间的切换,从而改变绕组匝数,即变压器的电压比,最终达到调压的目的。本文采用时域分析方法采集了特征参数,为判别后期故障预警提供了依据。
{"title":"Analysis of Time Domain Characteristic of Transformer On-load Tap-changer","authors":"Yuqi Bing, Zhao Lin, Lin Haofan, Yang Yong","doi":"10.1109/ICICM54364.2021.9660290","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660290","url":null,"abstract":"Abstract-On-load tap-changer is a switching device that provides a constant voltage for a transformer when the load changes. The basic principle is to realize the switching between taps in the transformer winding without interrupting the load current, thereby changing the number of winding turns, that is, the voltage ratio of the transformer, and finally achieving the purpose of voltage regulation. In this paper, the characteristic parameters are collected by time domain analysis method, which provides the basis for discriminating the later fault warning.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"81382671","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design of Ku-Band Low Noise Amplifier for Satellite Communication Applications 卫星通信用ku波段低噪声放大器的设计
Pub Date : 2021-10-22 DOI: 10.1109/ICICM54364.2021.9660315
Ziran Chen, Mengjia Huang, Zhi Huang, Han Wang, Le He, Meng Zhang
A Ku-band low noise amplifier (LNA) is presented in this paper, which is suitable for the Ku-band satellite communication receiving channel. The miniaturized LNA is established based on the micro-system design principle. The two-stage hetero-junction FET cascade structure is adopted. Based on a reasonable selection of matching structure, a low-pass filter (LPF) is added to suppress out-of-band interference. And the EDA software is applied to optimize the matching circuit. According to the results, the LNA achieves a noise Figure (NF) less than 1.55dB and a gain greater than 24dB in the working frequency range of 12GHz to 13GHz, meanwhile the input and output are well matched.
介绍了一种适用于ku波段卫星通信接收信道的ku波段低噪声放大器。基于微系统设计原理,建立了小型化LNA。采用两级异质结FET级联结构。在合理选择匹配结构的基础上,增加低通滤波器抑制带外干扰。并利用EDA软件对匹配电路进行优化。结果表明,在12GHz ~ 13GHz工作频率范围内,LNA的噪声系数(NF)小于1.55dB,增益大于24dB,且输入输出匹配良好。
{"title":"Design of Ku-Band Low Noise Amplifier for Satellite Communication Applications","authors":"Ziran Chen, Mengjia Huang, Zhi Huang, Han Wang, Le He, Meng Zhang","doi":"10.1109/ICICM54364.2021.9660315","DOIUrl":"https://doi.org/10.1109/ICICM54364.2021.9660315","url":null,"abstract":"A Ku-band low noise amplifier (LNA) is presented in this paper, which is suitable for the Ku-band satellite communication receiving channel. The miniaturized LNA is established based on the micro-system design principle. The two-stage hetero-junction FET cascade structure is adopted. Based on a reasonable selection of matching structure, a low-pass filter (LPF) is added to suppress out-of-band interference. And the EDA software is applied to optimize the matching circuit. According to the results, the LNA achieves a noise Figure (NF) less than 1.55dB and a gain greater than 24dB in the working frequency range of 12GHz to 13GHz, meanwhile the input and output are well matched.","PeriodicalId":6693,"journal":{"name":"2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2021-10-22","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"82516381","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2021 6th International Conference on Integrated Circuits and Microsystems (ICICM)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1