首页 > 最新文献

Memories - Materials, Devices, Circuits and Systems最新文献

英文 中文
Analyzing the impact of parasitics on a CMOS-Memristive crossbar neural network based on winner-take-all and Hebbian rule 基于赢者通吃和Hebbian规则的CMOS忆阻交叉神经网络寄生效应分析
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100081
Sherin A. Thomas , Rohit Sharma , Devarshi Mrinal Das

For cognitive tasks and classifications, neuromorphic systems have shown great potential. This paper presents a novel architecture using CMOS memristive synapses where the memristors are trained using the Hebbian rule, and the winner-take-all mechanism is used for the recognition task. The proposed architecture offers a simplified approach compared to previous state-of-the-art works, making it accessible for implementing pattern recognition tasks with in-memory computation. As the size of the memristive switching devices is in the nanometer scale, designing, modeling, and optimizing the system becomes increasingly complex. This complexity leads to various signal integrity issues that arise due to parasitic components of the crossbar. A crossbar array architecture is designed using the extracted crossbar’s parasitic components obtained using the Q3D extractor. The modeled architecture provides insight into the crossbar array’s parasitic affect behavior at the schematic level for different real-time applications and how the parasitics of the crossbar will affect the fidelity and performance of the system. The proposed architecture uses a threshold-based post-synaptic neuron, which does not require any capacitor, unlike the LIF neuron, and occupies a smaller area. A neuron refractory controller is designed to make the training process efficient by keeping track of the neuron already fired and preventing it from firing in the consecutive training phase. The CMOS memristive synapse uses an average of 0.32 nJ energy to recognize each pattern, much less than earlier works. The proposed architecture is validated using 180 nm CMOS technology.

对于认知任务和分类,神经形态系统已经显示出巨大的潜力。本文提出了一种使用CMOS忆阻突触的新架构,其中忆阻器使用Hebbian规则进行训练,并且赢家通吃机制用于识别任务。与以前最先进的工作相比,所提出的体系结构提供了一种简化的方法,使其能够通过内存计算实现模式识别任务。随着忆阻开关器件的尺寸达到纳米级,系统的设计、建模和优化变得越来越复杂。这种复杂性导致由于交叉开关的寄生组件而出现的各种信号完整性问题。使用使用Q3D提取器获得的所提取的交叉开关的寄生分量来设计交叉开关阵列架构。建模的体系结构在不同实时应用的示意图级别上深入了解了交叉开关阵列的寄生影响行为,以及交叉开关的寄生将如何影响系统的保真度和性能。所提出的架构使用基于阈值的突触后神经元,与LIF神经元不同,该神经元不需要任何电容器,并且占用较小的面积。设计了一种神经元难熔控制器,通过跟踪已经激发的神经元并防止其在连续训练阶段激发,使训练过程高效。CMOS忆阻突触平均使用0.32nJ的能量来识别每个模式,比早期的工作要少得多。使用180nm CMOS技术对所提出的架构进行了验证。
{"title":"Analyzing the impact of parasitics on a CMOS-Memristive crossbar neural network based on winner-take-all and Hebbian rule","authors":"Sherin A. Thomas ,&nbsp;Rohit Sharma ,&nbsp;Devarshi Mrinal Das","doi":"10.1016/j.memori.2023.100081","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100081","url":null,"abstract":"<div><p>For cognitive tasks and classifications, neuromorphic systems have shown great potential. This paper presents a novel architecture using CMOS memristive synapses where the memristors are trained using the Hebbian rule, and the winner-take-all mechanism is used for the recognition task. The proposed architecture offers a simplified approach compared to previous state-of-the-art works, making it accessible for implementing pattern recognition tasks with in-memory computation. As the size of the memristive switching devices is in the nanometer scale, designing, modeling, and optimizing the system becomes increasingly complex. This complexity leads to various signal integrity issues that arise due to parasitic components of the crossbar. A crossbar array architecture is designed using the extracted crossbar’s parasitic components obtained using the Q3D extractor. The modeled architecture provides insight into the crossbar array’s parasitic affect behavior at the schematic level for different real-time applications and how the parasitics of the crossbar will affect the fidelity and performance of the system. The proposed architecture uses a threshold-based post-synaptic neuron, which does not require any capacitor, unlike the LIF neuron, and occupies a smaller area. A neuron refractory controller is designed to make the training process efficient by keeping track of the neuron already fired and preventing it from firing in the consecutive training phase. The CMOS memristive synapse uses an average of 0.32 <span><math><mrow><mi>n</mi><mi>J</mi></mrow></math></span> energy to recognize each pattern, much less than earlier works. The proposed architecture is validated using 180 nm CMOS technology.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100081"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194262","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
MLTDRC: Machine learning driven faster timing design rule check convergence MLTDRC:机器学习驱动的更快时序设计规则检查收敛性
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100070
Santanu Kundu

Timing design rule check (T-DRC) convergence follows an iterative procedure like physical design closure. On a medium-complex design, the conventional flow of T-DRC convergence requires about 14 h per iteration, which includes fill insertion, sign-off accurate standard parasitic extraction format generation, sign-off static timing analysis, engineering change order (ECO) list generation in the multi-corner multi-mode scenario, fill removal, and implementation of the ECO on the pre-fill design. The T-DRC values generated from the pre-fill stage auto-place and route tool often have a miscorrelation with the sign-off values obtained from the static timing analysis tool. Due to the correlation gap, designers prefer to wait for the ECO change list to be created by the sign-off tool at the end of each iteration rather than resolve it at the pre-fill stage in the construction tool. Hence, T-DRC convergence is a lengthy process. This paper discusses an automatic T-DRC convergence methodology driven by machine learning (ML) techniques. By anticipating the transition of the input pin of a cell and the capacitance of its output pin, the suggested methodology shortens the runtime of each iteration. Additionally, it forecasts the suitable buffer to correct the T-DRC violation in the case of buffer insertion. With almost accurate prediction of T-DRC values using the ML approach, the sign-off flow can now be bypassed for a few iterations during the timing convergence phase, resulting in fewer iterations in the T-DRC sign-off flow. The violation percentage and the desired buffer name are obtained from the ML prediction result for each violation. An automatic in-house T-DRC fixer flow is developed to correct the violating elements beforehand, saving around 12 h of runtime for each iteration. Since ML prediction can never be 100% accurate, the final timing sign-off should always be done with the sign-off tool and flow to ensure zero silicon bug. With the help of ML prediction and the T-DRC fixer methodology, T-DRC convergence is possible in fewer sign-off tool iterations, resulting in a left shift of about two weeks in the timing closure cycle on the actual project execution.

时序设计规则检查(T-DRC)收敛遵循类似物理设计闭包的迭代过程。在中等复杂的设计中,T-DRC收敛的常规流程每次迭代需要大约14小时,包括填充插入、签核精确的标准寄生提取格式生成、签核静态时序分析、多角多模场景中的工程变更单(ECO)列表生成、填充去除以及预填充设计中ECO的实现。从预填充阶段自动放置和布线工具生成的T-DRC值通常与从静态时序分析工具获得的签核值存在误相关。由于相关性差距,设计师更喜欢在每次迭代结束时等待签署工具创建ECO更改列表,而不是在构建工具的预填充阶段解决它。因此,T-DRC收敛是一个漫长的过程。本文讨论了一种由机器学习(ML)技术驱动的自动T-DRC收敛方法。通过预测单元的输入引脚和输出引脚的电容的转换,所提出的方法缩短了每次迭代的运行时间。此外,它预测了合适的缓冲区,以在插入缓冲区的情况下纠正T-DRC违规。通过使用ML方法几乎准确地预测T-DRC值,现在可以在定时收敛阶段绕过签署流进行几次迭代,从而减少T-DRC签署流的迭代次数。从每个违规的ML预测结果中获得违规百分比和期望的缓冲区名称。开发了一个自动的内部T-DRC修复程序流,以预先纠正违规元素,为每次迭代节省大约12小时的运行时间。由于ML预测永远不可能100%准确,因此应始终使用签核工具和流程进行最终的时间签核,以确保零硅错误。在ML预测和T-DRC固定器方法的帮助下,T-DRC收敛可以在更少的签核工具迭代中实现,导致实际项目执行的时间关闭周期左移约两周。
{"title":"MLTDRC: Machine learning driven faster timing design rule check convergence","authors":"Santanu Kundu","doi":"10.1016/j.memori.2023.100070","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100070","url":null,"abstract":"<div><p>Timing design rule check (T-DRC) convergence follows an iterative procedure like physical design closure. On a medium-complex design, the conventional flow of T-DRC convergence requires about 14 h per iteration, which includes fill insertion, sign-off accurate standard parasitic extraction format generation, sign-off static timing analysis, engineering change order (ECO) list generation in the multi-corner multi-mode scenario, fill removal, and implementation of the ECO on the pre-fill design. The T-DRC values generated from the pre-fill stage auto-place and route tool often have a miscorrelation with the sign-off values obtained from the static timing analysis tool. Due to the correlation gap, designers prefer to wait for the ECO change list to be created by the sign-off tool at the end of each iteration rather than resolve it at the pre-fill stage in the construction tool. Hence, T-DRC convergence is a lengthy process. This paper discusses an automatic T-DRC convergence methodology driven by machine learning (ML) techniques. By anticipating the transition of the input pin of a cell and the capacitance of its output pin, the suggested methodology shortens the runtime of each iteration. Additionally, it forecasts the suitable buffer to correct the T-DRC violation in the case of buffer insertion. With almost accurate prediction of T-DRC values using the ML approach, the sign-off flow can now be bypassed for a few iterations during the timing convergence phase, resulting in fewer iterations in the T-DRC sign-off flow. The violation percentage and the desired buffer name are obtained from the ML prediction result for each violation. An automatic in-house T-DRC fixer flow is developed to correct the violating elements beforehand, saving around 12 h of runtime for each iteration. Since ML prediction can never be 100% accurate, the final timing sign-off should always be done with the sign-off tool and flow to ensure zero silicon bug. With the help of ML prediction and the T-DRC fixer methodology, T-DRC convergence is possible in fewer sign-off tool iterations, resulting in a left shift of about two weeks in the timing closure cycle on the actual project execution.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100070"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50193738","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Fully active frequency compensation analysis on multi-stages CMOS amplifier 多级CMOS放大器的全有源频率补偿分析
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100068
Ilghar Rezaei , Amir Ali Mohammad Khani , Morteza Dadgar , Mahdis Attar

An enhanced three-stage CMOS transconductance amplifier attached to a novel frequency compensation network is proposed. Two differential stages are attached with Miller capacitors and the Miller effect is boosted accordingly. In this way, four negative loops intensify the Miller effect virtually. The structure is designed at the transistor level using 0.18 μm CMOS library and the SPICE simulator while a symbolical transfer function is extracted and analyzed to obtain circuit dynamics. Leveraging both concept and method, the proposed amplifier shows unconditional stability with acceptable accuracy regarding the symbolic description and simulation results. Ample sensitivity analysis is also provided to show the reliability of the amplifier. By simulation responses, the presented circuit expresses competitive merits against previous works. Simulation results show 120 dB as DC gain, 18 MHz as, GBW, and 54º as phase margin while the simulated amplifier consumes only 345μW.

提出了一种附加在新型频率补偿网络上的增强型三级CMOS跨导放大器。两个差分级连接有米勒电容器,米勒效应相应地增强。通过这种方式,四个负循环实际上强化了米勒效应。使用0.18μm CMOS库和SPICE模拟器在晶体管级设计了该结构,同时提取并分析了符号传递函数以获得电路动力学。利用概念和方法,所提出的放大器在符号描述和模拟结果方面表现出无条件的稳定性和可接受的准确性。还提供了放大灵敏度分析,以显示放大器的可靠性。通过仿真响应,所提出的电路表达了与先前工作相比的竞争优势。仿真结果表明,当模拟放大器仅消耗345μW时,DC增益为120dB,GBW为18MHz,相位裕度为54º。
{"title":"Fully active frequency compensation analysis on multi-stages CMOS amplifier","authors":"Ilghar Rezaei ,&nbsp;Amir Ali Mohammad Khani ,&nbsp;Morteza Dadgar ,&nbsp;Mahdis Attar","doi":"10.1016/j.memori.2023.100068","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100068","url":null,"abstract":"<div><p>An enhanced three-stage CMOS transconductance amplifier attached to a novel frequency compensation network is proposed. Two differential stages are attached with Miller capacitors and the Miller effect is boosted accordingly. In this way, four negative loops intensify the Miller effect virtually. The structure is designed at the transistor level using 0.18 <span><math><mi>μ</mi></math></span>m CMOS library and the SPICE simulator while a symbolical transfer function is extracted and analyzed to obtain circuit dynamics. Leveraging both concept and method, the proposed amplifier shows unconditional stability with acceptable accuracy regarding the symbolic description and simulation results. Ample sensitivity analysis is also provided to show the reliability of the amplifier. By simulation responses, the presented circuit expresses competitive merits against previous works. Simulation results show 120 dB as DC gain, 18 MHz as, GBW, and 54º as phase margin while the simulated amplifier consumes only <span><math><mrow><mn>345</mn><mspace></mspace><mi>μ</mi><mi>W</mi></mrow></math></span>.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100068"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194257","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
An energy-efficient 10T SRAM in-memory computing macro for artificial intelligence edge processor 一种用于人工智能边缘处理器的高效10T SRAM内存计算宏
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100076
Anil Kumar Rajput, Manisha Pattanaik, Gaurav Kaushal

In-Memory Computing (IMC) is emerging as a new paradigm to address the von-Neumann bottleneck (VNB) in data-intensive applications. In this paper, an energy-efficient 10T SRAM-based IMC macro architecture is proposed to perform logic, arithmetic, and In-memory Dot Product (IMDP) operations. The average write margin and read margins of the proposed 10T SRAM are improved by 40% and 2.5%, respectively, compared to the 9T SRAM. The write energy and leakage power of the proposed 10T SRAM are reduced by 89% and 83.8%, respectively, with aproximatelly similar read energy compared to 9T SRAM. Additionally, a 4 Kb SRAM array based on 10T SRAM is implemented in 180-nm SCL technology to analyze the operation and performance of the proposed IMC macro architecture. The proposed IMC architecture achieves an energy efficiency of 5.3 TOPS/W for 1-bit logic, 4.1 TOPS/W for 1-bit addition, and 3.1 TOPS/W for IMDP operations at 1.8 V and 60 MHz. The area efficiency of 65.2% is achieved for a 136 × 32 array of proposed IMC macro architecture. Further, the proposed IMC macro is also tested for accelerating the IMDP operation of neural networks by importing linearity variation analysis in Tensorflow for image classification on MNIST and CIFAR datasets. According to Monte-Carlo simulations, the IMDP operation has a standard deviation of 0.07 percent in accumulation, equating to a classification accuracy of 97.02% on the MNIST dataset and 88.39% on the CIFAR dataset.

内存计算(IMC)正作为一种新的范式出现,以解决数据密集型应用中的冯·诺依曼瓶颈(VNB)问题。本文提出了一种基于10T SRAM的节能IMC宏架构,用于执行逻辑、算术和内存点积(IMDP)操作。与9T SRAM相比,所提出的10T SRAM的平均写入裕度和读取裕度分别提高了40%和2.5%。与9T SRAM相比,所提出的10T SRAM的写入能量和漏功率分别降低了89%和83.8%,读取能量近似相似。此外,在180nm SCL技术中实现了一个基于10T SRAM的4Kb SRAM阵列,以分析所提出的IMC宏架构的操作和性能。所提出的IMC架构在1.8V和60MHz下实现了1位逻辑5.3TOPS/W、1位加法4.1TOPS/W和IMDP操作3.1TOPS/W的能效。对于所提出的IMC宏架构的136×32阵列,面积效率达到65.2%。此外,通过在MNIST和CIFAR数据集上引入Tensorflow中的线性变化分析进行图像分类,还测试了所提出的IMC宏以加速神经网络的IMDP操作。根据蒙特卡洛模拟,IMDP操作的累积标准偏差为0.07%,相当于MNIST数据集和CIFAR数据集的分类准确率分别为97.02%和88.39%。
{"title":"An energy-efficient 10T SRAM in-memory computing macro for artificial intelligence edge processor","authors":"Anil Kumar Rajput,&nbsp;Manisha Pattanaik,&nbsp;Gaurav Kaushal","doi":"10.1016/j.memori.2023.100076","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100076","url":null,"abstract":"<div><p>In-Memory Computing (IMC) is emerging as a new paradigm to address the von-Neumann bottleneck (VNB) in data-intensive applications. In this paper, an energy-efficient 10T SRAM-based IMC macro architecture is proposed to perform logic, arithmetic, and In-memory Dot Product (IMDP) operations. The average write margin and read margins of the proposed 10T SRAM are improved by 40% and 2.5%, respectively, compared to the 9T SRAM. The write energy and leakage power of the proposed 10T SRAM are reduced by 89% and 83.8%, respectively, with aproximatelly similar read energy compared to 9T SRAM. Additionally, a 4 Kb SRAM array based on 10T SRAM is implemented in 180-nm SCL technology to analyze the operation and performance of the proposed IMC macro architecture. The proposed IMC architecture achieves an energy efficiency of 5.3 TOPS/W for 1-bit logic, 4.1 TOPS/W for 1-bit addition, and 3.1 TOPS/W for IMDP operations at 1.8 V and 60 MHz. The area efficiency of 65.2% is achieved for a 136 × 32 array of proposed IMC macro architecture. Further, the proposed IMC macro is also tested for accelerating the IMDP operation of neural networks by importing linearity variation analysis in Tensorflow for image classification on MNIST and CIFAR datasets. According to Monte-Carlo simulations, the IMDP operation has a standard deviation of 0.07 percent in accumulation, equating to a classification accuracy of 97.02% on the MNIST dataset and 88.39% on the CIFAR dataset.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100076"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50193740","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
An efficient common source sense amplifier for single ended SRAM 一种用于单端SRAM的高效共源读出放大器
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100065
Jebamalar Leavline, Sugantha A.

Sense amplifiers (SA) play a vital role in supporting the read performance of static random-access memory (SRAM). Single ended SRAM has attracted importance due to low leakage current and absence of time margin compared to differential SA. This paper proposes a common source sense amplifier (CSSA) for low power single ended SRAM for read operation. The sense amplifier performs dual task by charging the bit line during pre-charge phase and amplifying the bit line during evaluation phase. The proposed CSSA shows good improvement in sensing time and power at higher number of cells per bit line (CpBL). The proposed CSSA exhibits 53%, 48%, 24%, 23%, and 41% lower sensing time for 256 CpBL and 52%, 51%, 50%, 37%, and 47% lesser power consumption than the conventional domino sensing scheme (DSS), AC coupled sense amplifier (ACSA), non-strobed regenerative sense amplifier (NSRSA), switching PMOS sense amplifier (SPSA) and trip point bit line pre-charge sensing scheme (TBPSS). The proposed CSSA occupies 18%, 25%, 53%, 61%, and 37% lesser area compared to DSS, ACSA, SPSA, NSRSA, and TBPSS. The proposed CSSA has 88%, 88%, 85%, 91%, and 87% lesser APDP (area power delay product) compared to DSS, ACSA, SPSA, NSRSA, and TBPSS. The proposed CSSA sensing scheme is implemented and simulated in Cadence Virtuoso tool with 45 nm technology. The simulation results of CSSA prove that the proposed CSSA sense amplifier is suitable for high speed and low power SRAM architecture.

读出放大器(SA)在支持静态随机存取存储器(SRAM)的读取性能方面发挥着至关重要的作用。与差分SA相比,单端SRAM由于低漏电流和无时间裕度而受到重视。本文提出了一种用于低功率单端SRAM读取操作的共源读出放大器(CSSA)。读出放大器通过在预充电阶段对位线充电和在评估阶段放大位线来执行双重任务。所提出的CSSA在每个位线的单元数(CpBL)较高时显示出在感测时间和功率方面的良好改进。与传统的多米诺传感方案(DSS)、交流耦合传感放大器(ACSA)、非选通再生传感放大器(NSRSA)、开关PMOS传感放大器(SPSA)和触发点位线预充电传感方案(TBPSS)相比,所提出的CSSA在256个CpBL的传感时间分别减少53%、48%、24%、23%和41%,功耗分别减少52%、51%、50%、37%和47%。与DSS、ACSA、SPSA、NSRSA和TBPSS相比,拟议的CSSA占用的面积分别减少了18%、25%、53%、61%和37%。与DSS、ACSA、SPSA、NSRSA和TBPSS相比,拟议的CSSA的APDP(区域功率延迟乘积)分别减少了88%、88%、85%、91%和87%。所提出的CSSA传感方案在Cadence Virtuoso工具中使用45nm技术进行了实现和模拟。CSSA的仿真结果证明了所提出的CSSA读出放大器适用于高速低功耗SRAM结构。
{"title":"An efficient common source sense amplifier for single ended SRAM","authors":"Jebamalar Leavline,&nbsp;Sugantha A.","doi":"10.1016/j.memori.2023.100065","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100065","url":null,"abstract":"<div><p>Sense amplifiers (SA) play a vital role in supporting the read performance of static random-access memory (SRAM). Single ended SRAM has attracted importance due to low leakage current and absence of time margin compared to differential SA. This paper proposes a common source sense amplifier (CSSA) for low power single ended SRAM for read operation. The sense amplifier performs dual task by charging the bit line during pre-charge phase and amplifying the bit line during evaluation phase. The proposed CSSA shows good improvement in sensing time and power at higher number of cells per bit line (CpBL). The proposed CSSA exhibits 53%, 48%, 24%, 23%, and 41% lower sensing time for 256 CpBL and 52%, 51%, 50%, 37%, and 47% lesser power consumption than the conventional domino sensing scheme (DSS), AC coupled sense amplifier (ACSA), non-strobed regenerative sense amplifier (NSRSA), switching PMOS sense amplifier (SPSA) and trip point bit line pre-charge sensing scheme (TBPSS). The proposed CSSA occupies 18%, 25%, 53%, 61%, and 37% lesser area compared to DSS, ACSA, SPSA, NSRSA, and TBPSS. The proposed CSSA has 88%, 88%, 85%, 91%, and 87% lesser APDP (area power delay product) compared to DSS, ACSA, SPSA, NSRSA, and TBPSS. The proposed CSSA sensing scheme is implemented and simulated in Cadence Virtuoso tool with 45 nm technology. The simulation results of CSSA prove that the proposed CSSA sense amplifier is suitable for high speed and low power SRAM architecture.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100065"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194254","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Aluminum-doped zinc oxide (AZO) ultra-thin films deposited by radio frequency sputtering for flexible Cu(In,Ga)Se2 solar cells 用于柔性Cu(In,Ga)Se2太阳能电池的射频溅射铝掺杂氧化锌(AZO)超薄薄膜
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100064
G. Regmi , Sangita Rijal , S. Velumani

Zinc oxide ultra-thin films doping with aluminum (AZO) were produced through radio frequency (rf) sputtering at a fixed pressure of 10 mTorr while varying the rf power between 80 and 140 W. The crystal structure of hexagonal Wurtzite was consistent throughout, with improved crystallinity observed at higher rf powers due to optimal diffusivity of the sputtered particles during nucleation and growth. The size of the crystallite was increased from 10.37 to 16.58 nm with increasing the rf power from 80 to 140 W. The Raman spectra provided evidence of the formation of ultra-thin AZO films, with discernable changes in morphology due to the influence of rf power. The value of optical band gap fluctuated between 3.49 and 3.58 eV as a function of rf power, a basis of the Burstein–Moss effect. The resistivity of the ultra-thin AZO films declined while augmenting rf power. A bilayer structure of intrinsic ZnO (i-ZnO) and AZO was fabricated and exhibited good transmittance, well-crystalline morphology, and excellent electrical conductivity. The optimized window layer (i-ZnO and AZO) was used to produce flexible Cu(In,Ga)Se2(CIGSe) solar cells with a photo conversion efficiency of 9.53%. Therefore, ultra-thin ZnO films exhibit potential as a favorable option for a window layer in the production of high-efficient flexible solar cells in cost effective way.

在10mTorr的固定压力下,通过射频(rf)溅射制备掺杂有铝(AZO)的氧化锌超薄膜,同时在80W和140W之间改变rf功率。六方纤锌矿的晶体结构始终是一致的,由于溅射颗粒在成核和生长过程中的最佳扩散率,在较高的rf功率下观察到结晶度提高。随着射频功率从80 W增加到140 W,微晶的尺寸从10.37 nm增加到16.58 nm。拉曼光谱提供了超薄AZO薄膜形成的证据,由于射频功率的影响,其形态发生了明显的变化。作为射频功率的函数,光学带隙的值在3.49和3.58 eV之间波动,这是Burstein–Moss效应的基础。随着射频功率的增加,超薄AZO薄膜的电阻率下降。制备了本征ZnO(i-ZnO)和AZO的双层结构,并表现出良好的透射率、良好的结晶形态和优异的导电性。使用优化的窗口层(i-ZnO和AZO)制备了光转换效率为9.53%的柔性Cu(In,Ga)Se2(CIGSe)太阳能电池。因此,超薄ZnO薄膜在以成本效益高的方式生产高效柔性太阳能电池中显示出作为窗口层的有利选择的潜力。
{"title":"Aluminum-doped zinc oxide (AZO) ultra-thin films deposited by radio frequency sputtering for flexible Cu(In,Ga)Se2 solar cells","authors":"G. Regmi ,&nbsp;Sangita Rijal ,&nbsp;S. Velumani","doi":"10.1016/j.memori.2023.100064","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100064","url":null,"abstract":"<div><p>Zinc oxide ultra-thin films doping with aluminum (AZO) were produced through radio frequency (rf) sputtering at a fixed pressure of 10 mTorr while varying the rf power between 80 and 140 W. The crystal structure of hexagonal Wurtzite was consistent throughout, with improved crystallinity observed at higher rf powers due to optimal diffusivity of the sputtered particles during nucleation and growth. The size of the crystallite was increased from 10.37 to 16.58 nm with increasing the rf power from 80 to 140 W. The Raman spectra provided evidence of the formation of ultra-thin AZO films, with discernable changes in morphology due to the influence of rf power. The value of optical band gap fluctuated between 3.49 and 3.58 eV as a function of rf power, a basis of the Burstein–Moss effect. The resistivity of the ultra-thin AZO films declined while augmenting rf power. A bilayer structure of intrinsic ZnO (i-ZnO) and AZO was fabricated and exhibited good transmittance, well-crystalline morphology, and excellent electrical conductivity. The optimized window layer (i-ZnO and AZO) was used to produce flexible Cu(In,Ga)Se<sub>2</sub>(CIGSe) solar cells with a photo conversion efficiency of 9.53%. Therefore, ultra-thin ZnO films exhibit potential as a favorable option for a window layer in the production of high-efficient flexible solar cells in cost effective way.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100064"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194256","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Metamaterial modeling in circuit level for THz wave manipulation 用于太赫兹波操作的电路级超材料建模
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100078
Amir Ali Mohammad Khani , Ali Soldoozy , Ava Salmanpour , Toktam Aghaee

Leveraging both method and concept a two-layer THz absorber based on periodic arrays of graphene rings is proposed. The design methodology based on the equivalent circuit model is developed for the proposed absorber. The device is described as an impedance and also simulated by the FEM full-wave method to verify the circuit model accuracy. According to the simulation results, the proposed THz absorber can show perfect absorption from 0.5 THz to 3.5 THz while adjustability capability is obtained for different chemical potentials. Additionally, the sensitivity against geometrical parameters and different incident angels is investigated. Based on the provided results and the simplicity of the structure, the proposed absorber is an ideal candidate for several applications ranging from security to medical imaging.

利用这两种方法和概念,提出了一种基于石墨烯环周期阵列的双层太赫兹吸收体。为所提出的吸收器开发了基于等效电路模型的设计方法。该器件被描述为阻抗,并通过FEM全波方法进行仿真,以验证电路模型的准确性。根据模拟结果,所提出的太赫兹吸收体可以在0.5THz-3.5THz范围内表现出完美的吸收,同时对不同的化学势具有可调节性。此外,还研究了对几何参数和不同入射角的灵敏度。基于所提供的结果和结构的简单性,所提出的吸收体是从安全到医学成像等多种应用的理想候选者。
{"title":"Metamaterial modeling in circuit level for THz wave manipulation","authors":"Amir Ali Mohammad Khani ,&nbsp;Ali Soldoozy ,&nbsp;Ava Salmanpour ,&nbsp;Toktam Aghaee","doi":"10.1016/j.memori.2023.100078","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100078","url":null,"abstract":"<div><p>Leveraging both method and concept a two-layer THz absorber based on periodic arrays of graphene rings is proposed. The design methodology based on the equivalent circuit model is developed for the proposed absorber. The device is described as an impedance and also simulated by the FEM full-wave method to verify the circuit model accuracy. According to the simulation results, the proposed THz absorber can show perfect absorption from 0.5 THz to 3.5 THz while adjustability capability is obtained for different chemical potentials. Additionally, the sensitivity against geometrical parameters and different incident angels is investigated. Based on the provided results and the simplicity of the structure, the proposed absorber is an ideal candidate for several applications ranging from security to medical imaging.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100078"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194260","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Radiation hardened 12T SRAM cell with improved writing capability for space applications 用于空间应用的具有改进写入能力的辐射硬化12T SRAM单元
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100071
Rishabh Sharma , Debabrata Mondal , Ambika Prasad Shah

This paper presents an inventive and extremely dependable radiation-hardened by-design (RHBD) 12T SRAM Cell with enhanced writing capability (RHWC-12T) for a space radiation environment. The Proposed RHWC-12T SRAM is designed on Cadence Virtuoso with quad-storage nodes and simulated in 45-nm CMOS technology with the supply voltage of 1.1 V and 27C operating temperature. The proposed cell is tolerant to both 0 to 1 and 1 to 0 SEUs (Single event upsets). Also, it provides better speed and stability compared to the other considered SRAM cells such as 6T, 10T Dohar, Quatro, We-Quatro, QUCCE-12T, and NQuatro. According to simulation findings, the proposed SRAM cell provides 1.053× better writing stability than the 10T Dohar SRAM cell. In addition, the write access time improves by 3.56× with 1.36× area overhead than 10T Dohar SRAM cell.

本文提出了一种用于空间辐射环境的具有增强写入能力的创新性且极为可靠的辐射硬化设计(RHBD)12T SRAM单元(RHWC-12T)。所提出的RHWC-12T SRAM是在Cadence Virtuoso上设计的,具有四个存储节点,并在供电电压为1.1V和工作温度为27℃的45nm CMOS技术中进行模拟。所提出的小区对0到1和1到0 SEU(单事件扰乱)都是容忍的。此外,与其他考虑的SRAM单元(如6T、10T Dohar、Quatro、We-Quatro、QUCCE-12T和NQuatro)相比,它提供了更好的速度和稳定性。根据仿真结果,所提出的SRAM单元提供了比10T Dohar SRAM单元更好的1.053倍的写入稳定性。此外,与10T Dohar SRAM单元相比,写访问时间提高了3.56倍,面积开销为1.36倍。
{"title":"Radiation hardened 12T SRAM cell with improved writing capability for space applications","authors":"Rishabh Sharma ,&nbsp;Debabrata Mondal ,&nbsp;Ambika Prasad Shah","doi":"10.1016/j.memori.2023.100071","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100071","url":null,"abstract":"<div><p>This paper presents an inventive and extremely dependable radiation-hardened by-design (RHBD) 12T SRAM Cell with enhanced writing capability (RHWC-12T) for a space radiation environment. The Proposed RHWC-12T SRAM is designed on Cadence Virtuoso with quad-storage nodes and simulated in 45-nm CMOS technology with the supply voltage of 1.1 V and 27<span><math><msup><mrow></mrow><mrow><mo>∘</mo></mrow></msup></math></span>C operating temperature. The proposed cell is tolerant to both 0 to 1 and 1 to 0 SEUs (Single event upsets). Also, it provides better speed and stability compared to the other considered SRAM cells such as 6T, 10T Dohar, Quatro, We-Quatro, QUCCE-12T, and NQuatro. According to simulation findings, the proposed SRAM cell provides 1.053<span><math><mo>×</mo></math></span> better writing stability than the 10T Dohar SRAM cell. In addition, the write access time improves by 3.56<span><math><mo>×</mo></math></span> with 1.36<span><math><mo>×</mo></math></span> area overhead than 10T Dohar SRAM cell.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100071"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50194259","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Device-circuit co-design of memristor-based on niobium oxide for large-scale crossbar memory 基于铌氧化物的大规模纵横制存储器忆阻器器件电路协同设计
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100080
Avinash Kumar Gupta, Mani Shankar Yadav, Brajesh Rawat

Memristor-based crossbar architecture emerges as a promising candidate for 3-D memory and neuromorphic computing. However, the sneak current through the unselected cells becomes a fundamental roadblock to their development, resulting in misreading and high power consumption. In this regard, we theoretically investigate the Pt/Ti/NbO2/Nb2O5x/Pt-based self-selective memristor, which combines the inherent nonlinearity of the NbO2 switching layer and the non-volatile operation of the Nb2O5x memory layer in a single device. The results show that the Pt/Ti/NbO2/Nb2O5x/Pt-based self-selective memristor offers the sneak current of 310 nA, selectivity of around 174, and on/off current ratio of 75, compared to the sneak current of approximately 70 μA, selectivity of about 4.02, and on/off current ratio of around 1.55 for the Pt/Ti/Nb2O5x/Pt-based memristor device. Our self-selective memristor minimizes the sneak current, but a small on/off current ratio limits their readout margin and power efficiency for crossbar array size greater than 4KB. Further, we demonstrate that breaking down a large-scale crossbar array into smaller subarrays and separating them by transistor switches, called the split crossbar array, is a more efficient way of achieving a practical size crossbar array with improved readout margin and power efficiency. Our results shed light on the potential of the Pt/Ti/NbO2/Nb2O5x/Pt-based self-selective memristor and explore the split crossbar array architecture as a practical solution to augment readout margins and power efficiency in a large-scale crossbar array.

基于忆阻器的纵横制结构成为三维记忆和神经形态计算的一个有前途的候选者。然而,通过未选择电池的潜流成为其发展的基本障碍,导致误读和高功耗。在这方面,我们从理论上研究了基于Pt/Ti/NbO2/Nb2O5−x/Pt的自选择忆阻器,它在单个器件中结合了NbO2开关层的固有非线性和Nb2O5−x存储层的非易失性操作。结果表明,与Pt/Ti/Nb2O5−x/Pt基忆阻器器件的潜流约70μA、选择性约4.02和开/关电流比约1.55相比,基于Pt/Ti/NbO2/Nb2O5−x/Pt的自选择忆阻器提供了310 nA的潜流、约174的选择性和75的开/关流比。我们的自选择忆阻器最大限度地减少了潜流,但小的开/关电流比限制了其读出裕度和纵横制阵列尺寸大于4KB的功率效率。此外,我们证明,将大规模交叉阵列分解为更小的子阵列,并通过晶体管开关(称为分裂交叉阵列)将其分离,是实现具有改进的读出裕度和功率效率的实际尺寸交叉阵列的更有效方法。我们的研究结果揭示了基于Pt/Ti/NbO2/Nb2O5−x/Pt的自选择忆阻器的潜力,并探索了分裂交叉阵列结构作为一种实用的解决方案,以提高大规模交叉阵列的读出裕度和功率效率。
{"title":"Device-circuit co-design of memristor-based on niobium oxide for large-scale crossbar memory","authors":"Avinash Kumar Gupta,&nbsp;Mani Shankar Yadav,&nbsp;Brajesh Rawat","doi":"10.1016/j.memori.2023.100080","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100080","url":null,"abstract":"<div><p>Memristor-based crossbar architecture emerges as a promising candidate for 3-D memory and neuromorphic computing. However, the sneak current through the unselected cells becomes a fundamental roadblock to their development, resulting in misreading and high power consumption. In this regard, we theoretically investigate the Pt/Ti/NbO<sub>2</sub>/Nb<span><math><msub><mrow></mrow><mrow><mn>2</mn></mrow></msub></math></span>O<span><math><msub><mrow></mrow><mrow><mn>5</mn><mo>−</mo><mi>x</mi></mrow></msub></math></span>/Pt-based self-selective memristor, which combines the inherent nonlinearity of the NbO<sub>2</sub> switching layer and the non-volatile operation of the Nb<span><math><msub><mrow></mrow><mrow><mn>2</mn></mrow></msub></math></span>O<span><math><msub><mrow></mrow><mrow><mn>5</mn><mo>−</mo><mi>x</mi></mrow></msub></math></span> memory layer in a single device. The results show that the Pt/Ti/NbO<sub>2</sub>/Nb<span><math><msub><mrow></mrow><mrow><mn>2</mn></mrow></msub></math></span>O<span><math><msub><mrow></mrow><mrow><mn>5</mn><mo>−</mo><mi>x</mi></mrow></msub></math></span>/Pt-based self-selective memristor offers the sneak current of 310 nA, selectivity of around 174, and on/off current ratio of 75, compared to the sneak current of approximately 70 <span><math><mi>μ</mi></math></span>A, selectivity of about 4.02, and on/off current ratio of around 1.55 for the Pt/Ti/Nb<span><math><msub><mrow></mrow><mrow><mn>2</mn></mrow></msub></math></span>O<span><math><msub><mrow></mrow><mrow><mn>5</mn><mo>−</mo><mi>x</mi></mrow></msub></math></span>/Pt-based memristor device. Our self-selective memristor minimizes the sneak current, but a small on/off current ratio limits their readout margin and power efficiency for crossbar array size greater than 4KB. Further, we demonstrate that breaking down a large-scale crossbar array into smaller subarrays and separating them by transistor switches, called the split crossbar array, is a more efficient way of achieving a practical size crossbar array with improved readout margin and power efficiency. Our results shed light on the potential of the Pt/Ti/NbO<sub>2</sub>/Nb<span><math><msub><mrow></mrow><mrow><mn>2</mn></mrow></msub></math></span>O<span><math><msub><mrow></mrow><mrow><mn>5</mn><mo>−</mo><mi>x</mi></mrow></msub></math></span>/Pt-based self-selective memristor and explore the split crossbar array architecture as a practical solution to augment readout margins and power efficiency in a large-scale crossbar array.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100080"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50193733","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Optimizing free layer of Magnetic Tunnel Junction for true random number generator 真随机数发生器磁隧道结自由层的优化
Pub Date : 2023-10-01 DOI: 10.1016/j.memori.2023.100075
Alisha P.B., Dr. Tripti S Warrier

True random number generators (TRNGs) should ideally generate lengthy chains of non-repeating, uncorrelated bit-streams that are efficient in terms of both energy and area. Current TRNG designs include source of randomness such as CMOS or non-volatile memory based devices with additional circuitry to improve the quality of randomness leading to power and area overhead. This paper addresses these issues by improving the randomness of the Spin-Orbit Torque (SOT)-Magnetic Tunnel Junction cell. Motivated by the observation that free layer thickness of Magnetic Tunnel Junction (MTJ) can be scaled to design a low-barrier device, the paper proposes a novel source of randomness called ΔSOT. This device is then used to design TRNG circuits that achieves high quality random telegraphic switching behavior without any additional circuitry making it suitable for ultra-low power applications. Evaluations show that ΔSOT-TRNG has significant reduction in energy (51%) and area (66%) compared to state-of-the-art MTJ based TRNG design. Furthermore, the work shows that the improved switching speed of the reduced barrier junction can results in 65% increase in throughput compared to MTJ based TRNG design.

理想情况下,真正的随机数生成器(TRNG)应该生成在能量和面积方面都有效的非重复、不相关的比特流的长链。当前的TRNG设计包括随机性源,例如CMOS或基于非易失性存储器的设备,其具有额外的电路以提高随机性的质量,从而导致功率和面积开销。本文通过改善自旋轨道力矩(SOT)-磁性隧道结单元的随机性来解决这些问题。由于观察到磁性隧道结(MTJ)的自由层厚度可以缩放以设计低势垒器件,本文提出了一种新的随机性源ΔSOT。然后,该设备用于设计TRNG电路,该电路实现了高质量的随机电报切换行为,而无需任何额外的电路,使其适用于超低功率应用。评估表明,与最先进的基于MTJ的TRNG设计相比,ΔSOT-TRNG在能量(51%)和面积(66%)方面显著减少。此外,研究表明,与基于MTJ的TRNG设计相比,减少的势垒结的开关速度的提高可以使吞吐量增加65%。
{"title":"Optimizing free layer of Magnetic Tunnel Junction for true random number generator","authors":"Alisha P.B.,&nbsp;Dr. Tripti S Warrier","doi":"10.1016/j.memori.2023.100075","DOIUrl":"https://doi.org/10.1016/j.memori.2023.100075","url":null,"abstract":"<div><p>True random number generators (TRNGs) should ideally generate lengthy chains of non-repeating, uncorrelated bit-streams that are efficient in terms of both energy and area. Current TRNG designs include source of randomness such as CMOS or non-volatile memory based devices with additional circuitry to improve the quality of randomness leading to power and area overhead. This paper addresses these issues by improving the randomness of the Spin-Orbit Torque (SOT)-Magnetic Tunnel Junction cell. Motivated by the observation that free layer thickness of Magnetic Tunnel Junction (MTJ) can be scaled to design a low-barrier device, the paper proposes a novel source of randomness called <span><math><mi>Δ</mi></math></span>SOT. This device is then used to design TRNG circuits that achieves high quality random telegraphic switching behavior without any additional circuitry making it suitable for ultra-low power applications. Evaluations show that <span><math><mi>Δ</mi></math></span>SOT-TRNG has significant reduction in energy (51%) and area (66%) compared to state-of-the-art MTJ based TRNG design. Furthermore, the work shows that the improved switching speed of the reduced barrier junction can results in 65% increase in throughput compared to MTJ based TRNG design.</p></div>","PeriodicalId":100915,"journal":{"name":"Memories - Materials, Devices, Circuits and Systems","volume":"5 ","pages":"Article 100075"},"PeriodicalIF":0.0,"publicationDate":"2023-10-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"50193737","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
期刊
Memories - Materials, Devices, Circuits and Systems
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1