首页 > 最新文献

2011 20th European Conference on Circuit Theory and Design (ECCTD)最新文献

英文 中文
Influence of active device nonlinearities on the determination of Adler's injection-locking Q-factor 有源装置非线性对Adler注入锁定q因子测定的影响
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043289
E. Calandra, M. Caruso, Daniele Lupo
The problem of the correct evaluation of Q-factor appearing in Adler's equation for injection-locking is addressed. Investigation has shown that recent results presented in the literature, while extending applicability of the original method, do not completely account for nonlinear effects occurring when two-port active devices are involved. To overcome such limitation, use can be made of a newly developed theory in the dynamical complex envelope domain, capable of providing first-approximation exact dynamical models of driven quasi-sinusoidal oscillators. Some preliminary results are presented here concerning a class of injection-locked oscillators with single-loop feedback type configuration. The proposed procedure permits evaluation of the nonlinear oscillator Q-factor, either analytically or numerically, depending on the complexity of the nonlinear active device model involved. The example worked out, a MOST-equipped driven Colpitts scheme, clearly illustrates the accuracy improvement achieved in the determination of the locking bandwidth stemming from the newly defined effective Q-factor, without the need to resort to the very time consuming full numerical transient envelope simulations otherwise required to this purpose.
研究了注入锁的Adler方程中q因子的正确取值问题。研究表明,最近文献中提出的结果,虽然扩展了原始方法的适用性,但并不能完全解释涉及双端口有源器件时发生的非线性效应。为了克服这种限制,可以利用动态复包络域的一种新发展的理论,该理论能够提供驱动准正弦振荡器的第一近似精确动力学模型。本文给出了一类单环反馈型注入锁定振子的一些初步结果。所提出的程序允许评估非线性振荡器q因子,无论是解析或数值,取决于所涉及的非线性有源器件模型的复杂性。这个例子是一个装备了最先进的驱动的Colpitts方案,它清楚地说明了新定义的有效q因子在确定锁定带宽方面所取得的精度提高,而不需要依靠非常耗时的全数值瞬态包络线模拟来达到这个目的。
{"title":"Influence of active device nonlinearities on the determination of Adler's injection-locking Q-factor","authors":"E. Calandra, M. Caruso, Daniele Lupo","doi":"10.1109/ECCTD.2011.6043289","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043289","url":null,"abstract":"The problem of the correct evaluation of Q-factor appearing in Adler's equation for injection-locking is addressed. Investigation has shown that recent results presented in the literature, while extending applicability of the original method, do not completely account for nonlinear effects occurring when two-port active devices are involved. To overcome such limitation, use can be made of a newly developed theory in the dynamical complex envelope domain, capable of providing first-approximation exact dynamical models of driven quasi-sinusoidal oscillators. Some preliminary results are presented here concerning a class of injection-locked oscillators with single-loop feedback type configuration. The proposed procedure permits evaluation of the nonlinear oscillator Q-factor, either analytically or numerically, depending on the complexity of the nonlinear active device model involved. The example worked out, a MOST-equipped driven Colpitts scheme, clearly illustrates the accuracy improvement achieved in the determination of the locking bandwidth stemming from the newly defined effective Q-factor, without the need to resort to the very time consuming full numerical transient envelope simulations otherwise required to this purpose.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129326456","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Single and two-stage OTAs for high-speed CMOS pipelined ADCs 用于高速CMOS流水线adc的单级和两级ota
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043818
Tero Nieminen, K. Halonen
This paper compares one- and two stage operational transconductance amplifiers (OTAs) to be used in an 8-bit high speed (440-MS/s) deep submicron CMOS (130nm) low voltage (1.2V) pipelined Analogue to Digital Converter (ADC) based on an 1.5-bit double sampling Multiplying Digital to Analogue Converter (MDAC). The main emphasis is put on the OTA DC-gain, gain-bandwidth (GBW), differential linear output range VOPP and power consumption. Most basic OTAs are compared through the calculations and simulations. In the potential topologies (regulated single stage or two stage), single stage OTA has a better phase response and a lower power consumption, whereas two stage OTA achieves larger linear range.
本文比较了用于8位高速(440-MS/s)深亚微米CMOS (130nm)低压(1.2V)流水线模拟数字转换器(ADC)的一级和二级操作跨导放大器(ota),该转换器基于1.5位双采样乘法数模转换器(MDAC)。重点介绍了OTA直流增益、增益带宽(GBW)、差分线性输出范围VOPP和功耗。通过计算和模拟比较了大多数基本ota。在潜在拓扑(可调节的单级或两级)中,单级OTA具有更好的相位响应和更低的功耗,而两级OTA具有更大的线性范围。
{"title":"Single and two-stage OTAs for high-speed CMOS pipelined ADCs","authors":"Tero Nieminen, K. Halonen","doi":"10.1109/ECCTD.2011.6043818","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043818","url":null,"abstract":"This paper compares one- and two stage operational transconductance amplifiers (OTAs) to be used in an 8-bit high speed (440-MS/s) deep submicron CMOS (130nm) low voltage (1.2V) pipelined Analogue to Digital Converter (ADC) based on an 1.5-bit double sampling Multiplying Digital to Analogue Converter (MDAC). The main emphasis is put on the OTA DC-gain, gain-bandwidth (GBW), differential linear output range VOPP and power consumption. Most basic OTAs are compared through the calculations and simulations. In the potential topologies (regulated single stage or two stage), single stage OTA has a better phase response and a lower power consumption, whereas two stage OTA achieves larger linear range.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124744938","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
Optimization of gate-level area in high throughput Multiple Constant Multiplications 高吞吐量多重常数乘法中门级面积的优化
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043602
L. Aksoy, E. Costa, P. Flores, J. Monteiro
This paper addresses the problem of optimizing gate-level area in a pipelined Multiple Constant Multiplications (MCM) operation and introduces a high-level synthesis algorithm, called HCUB-DC+ILP. In the HCUB-DC+ILP algorithm, initially, a solution with the fewest number of operations under a minimum delay constraint is found by the Hcub-DC algorithm. Then, the area around this local minimum point is explored exactly using a 0–1 Integer Linear Programming (ILP) technique that considers the gate-level implementation of the pipelined MCM operation. The experimental results at both high-level and gate-level clearly show the efficiency of HCUB-DC+ILP over previously proposed prominent MCM algorithms.
本文解决了流水线多重常数乘法(Multiple Constant multiplication, MCM)运算中门级面积的优化问题,并介绍了一种称为HCUB-DC+ILP的高级综合算法。在HCUB-DC+ILP算法中,首先通过HCUB-DC算法在最小延迟约束下找到运算次数最少的解。然后,使用0-1整数线性规划(ILP)技术精确地探索该局部最小点周围的区域,该技术考虑了流水线MCM操作的门级实现。高阶和门级的实验结果清楚地表明,HCUB-DC+ILP优于先前提出的著名MCM算法。
{"title":"Optimization of gate-level area in high throughput Multiple Constant Multiplications","authors":"L. Aksoy, E. Costa, P. Flores, J. Monteiro","doi":"10.1109/ECCTD.2011.6043602","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043602","url":null,"abstract":"This paper addresses the problem of optimizing gate-level area in a pipelined Multiple Constant Multiplications (MCM) operation and introduces a high-level synthesis algorithm, called HCUB-DC+ILP. In the HCUB-DC+ILP algorithm, initially, a solution with the fewest number of operations under a minimum delay constraint is found by the Hcub-DC algorithm. Then, the area around this local minimum point is explored exactly using a 0–1 Integer Linear Programming (ILP) technique that considers the gate-level implementation of the pipelined MCM operation. The experimental results at both high-level and gate-level clearly show the efficiency of HCUB-DC+ILP over previously proposed prominent MCM algorithms.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123984149","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Analysis and design of an array of two differential oscillators coupled through a resistive network 通过电阻网络耦合的两个差分振荡器阵列的分析与设计
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043612
M. Ionita, D. Cordeau, J. Paillot, M. Iordache
This paper considers the analysis and the design of an array of two NMOS differential oscillators coupled through a resistor. A new writing of the nonlinear equations proposed by R. York to describe the oscillators' locked states but limited for the specific case of a resistive coupling is presented. The new system permits the calculation of the free-running frequencies of the oscillators when a specific phase shift is desired. This has led to the modeling of the two coupled NMOS differential oscillators as two coupled differential Van der Pol oscillators, with a resistive coupling network. A good agreement between the circuit, the model and the theory was found, giving some design considerations for a network of two differential oscillators coupled through one resistor.
本文考虑了两个NMOS差分振荡器通过一个电阻耦合阵列的分析和设计。本文对R. York提出的描述振子锁定状态的非线性方程进行了新的描述,但仅限于电阻耦合的特定情况。当需要特定相移时,新系统允许计算振荡器的自由运行频率。这导致了两个耦合NMOS差分振荡器的建模为两个耦合差分范德波尔振荡器,具有电阻耦合网络。电路、模型和理论之间的一致性很好,为通过一个电阻耦合的两个差分振荡器网络的设计提供了一些考虑。
{"title":"Analysis and design of an array of two differential oscillators coupled through a resistive network","authors":"M. Ionita, D. Cordeau, J. Paillot, M. Iordache","doi":"10.1109/ECCTD.2011.6043612","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043612","url":null,"abstract":"This paper considers the analysis and the design of an array of two NMOS differential oscillators coupled through a resistor. A new writing of the nonlinear equations proposed by R. York to describe the oscillators' locked states but limited for the specific case of a resistive coupling is presented. The new system permits the calculation of the free-running frequencies of the oscillators when a specific phase shift is desired. This has led to the modeling of the two coupled NMOS differential oscillators as two coupled differential Van der Pol oscillators, with a resistive coupling network. A good agreement between the circuit, the model and the theory was found, giving some design considerations for a network of two differential oscillators coupled through one resistor.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114110293","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Finite wordlength properties of matrix inversion algorithms in fixed-point and logarithmic number systems 不动点和对数系统中矩阵反演算法的有限字长性质
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043633
Carl Ingemarsson, O. Gustafsson
Matrix inversion is sensitive towards the number representation used. In this paper simulations of matrix inversion with numbers represented in the fixed-point and logarithmic number systems (LNS) are presented. A software framework has been implemented to allow extensive simulation of finite wordlength matrix inversion. Six different algorithms have been used and results on matrix condition number, wordlength, and to some extent matrix size are presented. The simulations among other things show that the wordlength requirements differ significantly between different algorithms in both fixed-point and LNS representations. The results can be used as a starting point for a matrix inversion hardware implementation.
矩阵反转对所使用的数字表示很敏感。本文给出了用不动点系统和对数系统(LNS)表示数的矩阵反演的仿真。一个软件框架已经实现,以允许广泛的模拟有限字长矩阵反演。使用了六种不同的算法,并给出了矩阵条件数、字长以及一定程度上矩阵大小的结果。仿真结果表明,在定点表示和LNS表示中,不同算法对字长的要求存在显著差异。这些结果可以作为矩阵反演硬件实现的起点。
{"title":"Finite wordlength properties of matrix inversion algorithms in fixed-point and logarithmic number systems","authors":"Carl Ingemarsson, O. Gustafsson","doi":"10.1109/ECCTD.2011.6043633","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043633","url":null,"abstract":"Matrix inversion is sensitive towards the number representation used. In this paper simulations of matrix inversion with numbers represented in the fixed-point and logarithmic number systems (LNS) are presented. A software framework has been implemented to allow extensive simulation of finite wordlength matrix inversion. Six different algorithms have been used and results on matrix condition number, wordlength, and to some extent matrix size are presented. The simulations among other things show that the wordlength requirements differ significantly between different algorithms in both fixed-point and LNS representations. The results can be used as a starting point for a matrix inversion hardware implementation.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114563810","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Highly adjustable multirate digital filters based on fast convolution 基于快速卷积的高可调多速率数字滤波器
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043653
M. Renfors, F. Harris
FFT-IFFT configuration, or more generally a forward-inverse orthogonal transform pair, offers a way to implement a digital filter whose frequency-domain characteristics can be straightforwardly tuned by adjusting the complex gains of the frequency bins. Using filter banks (FBs) for the transform pair, sharp transition bands can be obtained for low-pass/bandpass/highpass type filter designs. However, FBs suffer from relatively high implementation complexity. Fast convolution based multirate FBs constitute and alternative approach which is able to reach high spectral containment together with high flexibility and conceptual simplicity. In this paper we consider a fast convolution based highly tunable analysis FB configuration and show that nearly perfect-reconstruction FB systems can be implemented using this approach. Further, the channel filters of the analysis FB are easily configurable for different bandwidths, center frequencies and output sampling rates.
FFT-IFFT配置,或者更一般地说是正逆正交变换对,提供了一种实现数字滤波器的方法,其频域特性可以通过调整频箱的复增益直接调谐。对变换对使用滤波器组(FBs),可以获得低通/带通/高通型滤波器设计的锐利过渡带。然而,FBs的实现复杂性相对较高。基于快速卷积的多速率FBs构成了一种替代方法,能够达到高光谱包容,同时具有高灵活性和概念简单性。在本文中,我们考虑了一种基于快速卷积的高度可调分析FB配置,并证明使用这种方法可以实现近乎完美重构的FB系统。此外,分析FB的通道滤波器很容易配置为不同的带宽,中心频率和输出采样率。
{"title":"Highly adjustable multirate digital filters based on fast convolution","authors":"M. Renfors, F. Harris","doi":"10.1109/ECCTD.2011.6043653","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043653","url":null,"abstract":"FFT-IFFT configuration, or more generally a forward-inverse orthogonal transform pair, offers a way to implement a digital filter whose frequency-domain characteristics can be straightforwardly tuned by adjusting the complex gains of the frequency bins. Using filter banks (FBs) for the transform pair, sharp transition bands can be obtained for low-pass/bandpass/highpass type filter designs. However, FBs suffer from relatively high implementation complexity. Fast convolution based multirate FBs constitute and alternative approach which is able to reach high spectral containment together with high flexibility and conceptual simplicity. In this paper we consider a fast convolution based highly tunable analysis FB configuration and show that nearly perfect-reconstruction FB systems can be implemented using this approach. Further, the channel filters of the analysis FB are easily configurable for different bandwidths, center frequencies and output sampling rates.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121504934","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 33
A phase detection scheme for clock and data recovery applications 一种用于时钟和数据恢复应用的相位检测方案
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043294
C. Sánchez-Azqueta, S. Celma
This paper presents the design and functional simulation of a new multi-level bang-bang phase detector for use in a clock and data recovery circuit (CDR). The designed phase detector provides information of the nature of the delay between its input signals in a digitised manner, establishing six levels of quantisation. To avoid the metastability that hinders the performance of traditional bang-bang phase detectors, a scheme of phase delay sensing is proposed that eliminates the need to sample the data stream close to data transitions in the locked state. Behavioural simulations are provided comparing the performance of the proposed phase detector with that of a conventional bang-bang phase detector.
本文介绍了一种用于时钟和数据恢复电路(CDR)的新型多级bang-bang鉴相器的设计和功能仿真。所设计的相位检测器以数字化方式提供其输入信号之间延迟性质的信息,建立六个量化级别。为了避免传统的bang-bang相位检测器的亚稳态影响其性能,提出了一种相位延迟传感方案,该方案消除了在锁定状态下对靠近数据转换的数据流进行采样的需要。并进行了行为模拟,比较了所提出的相位检测器与传统的bang-bang相位检测器的性能。
{"title":"A phase detection scheme for clock and data recovery applications","authors":"C. Sánchez-Azqueta, S. Celma","doi":"10.1109/ECCTD.2011.6043294","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043294","url":null,"abstract":"This paper presents the design and functional simulation of a new multi-level bang-bang phase detector for use in a clock and data recovery circuit (CDR). The designed phase detector provides information of the nature of the delay between its input signals in a digitised manner, establishing six levels of quantisation. To avoid the metastability that hinders the performance of traditional bang-bang phase detectors, a scheme of phase delay sensing is proposed that eliminates the need to sample the data stream close to data transitions in the locked state. Behavioural simulations are provided comparing the performance of the proposed phase detector with that of a conventional bang-bang phase detector.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114752172","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Managing variability for ultimate energy efficiency 管理可变性以实现最终的能源效率
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043297
B. Nikolić
Technology scaling is in the era where the chip performance is constrained by its power dissipation. Although the power limits vary with the application domain, they dictate the choice of technology, architecture, and implementation techniques that trade off performance for power savings. Energy-efficient design is often achieved for designs that are sensitive to technology and design parameters. On the other hand, increased variability in semiconductor process technology and devices requires added margins in the design to guarantee the desired yield. Sources of variability in scaled technologies are reviewed, along with models and methods for their capture in design. Variability is characterized with respect to the distribution of its components, its spatial and temporal characteristics and its impact on specific circuit topologies. Methods of desensitizing the digital logic and SRAM to variability at low supply voltages are demonstrated.
技术规模化是在芯片性能受功耗制约的时代。尽管功率限制因应用程序领域而异,但它们决定了在性能和功耗之间进行权衡的技术、体系结构和实现技术的选择。节能设计通常是对技术和设计参数敏感的设计。另一方面,半导体工艺技术和器件的可变性增加,需要在设计中增加裕度,以保证所需的良率。回顾了规模化技术中可变性的来源,以及在设计中捕获可变性的模型和方法。变异性的特征是相对于其组件的分布,其空间和时间特征及其对特定电路拓扑结构的影响。演示了在低电源电压下对数字逻辑和SRAM的变异性进行脱敏的方法。
{"title":"Managing variability for ultimate energy efficiency","authors":"B. Nikolić","doi":"10.1109/ECCTD.2011.6043297","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043297","url":null,"abstract":"Technology scaling is in the era where the chip performance is constrained by its power dissipation. Although the power limits vary with the application domain, they dictate the choice of technology, architecture, and implementation techniques that trade off performance for power savings. Energy-efficient design is often achieved for designs that are sensitive to technology and design parameters. On the other hand, increased variability in semiconductor process technology and devices requires added margins in the design to guarantee the desired yield. Sources of variability in scaled technologies are reviewed, along with models and methods for their capture in design. Variability is characterized with respect to the distribution of its components, its spatial and temporal characteristics and its impact on specific circuit topologies. Methods of desensitizing the digital logic and SRAM to variability at low supply voltages are demonstrated.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124497787","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Low-power leapfrog bandpass filter with transmission zeros using integrators and resistor-based addition circuits 低功耗跨越式带通滤波器与传输零使用积分器和电阻为基础的加法电路
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043365
Hiroki Sato, Zhishan Xu, R. Nicodimus, S. Takagi
This paper proposes an approach to realize a leapfrog filter with transmission zeros by using OTA-C integrators and resistor-based addition circuit instead of OTAs. This method has advantages of reduced number of active elements and spread of element values. A tenth-order bandpass filter is presented as a design example.
本文提出了一种利用OTA-C积分器和基于电阻的加法电路代替ota实现传输零的跨越式滤波器的方法。该方法具有有效单元数量少、单元值可扩展等优点。给出了一个十阶带通滤波器的设计实例。
{"title":"Low-power leapfrog bandpass filter with transmission zeros using integrators and resistor-based addition circuits","authors":"Hiroki Sato, Zhishan Xu, R. Nicodimus, S. Takagi","doi":"10.1109/ECCTD.2011.6043365","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043365","url":null,"abstract":"This paper proposes an approach to realize a leapfrog filter with transmission zeros by using OTA-C integrators and resistor-based addition circuit instead of OTAs. This method has advantages of reduced number of active elements and spread of element values. A tenth-order bandpass filter is presented as a design example.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126253273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A fully automated large-scale addressable test chip design with high reliability 具有高可靠性的全自动大规模可寻址测试芯片设计
Pub Date : 2011-10-13 DOI: 10.1109/ECCTD.2011.6043609
Bo Zhang, Weiwei Pan, Yongjun Zheng, Zheng Shi, Xiaolang Yan
During the development of modern semiconductor processes, which has increasing complexity and an extremely high number of degrees of freedom, a large number of distinct test structures are required to test and ensure the yield and manufacturability. To increase the utilization of chip area, addressable methodology of test chip is developed. In this paper, we present a novel large-scale addressable test chip development procedure. Based on components for automation, this procedure is fully integrated and able to reduce layout time to 10% and eliminate much of the potential for human error. A 32×32 array on a 45nm technology has been designed and manufactured with this procedure; the silicon test data further prove the reliability and effectiveness of this procedure.
在现代半导体工艺的发展过程中,其复杂性和自由度越来越高,需要大量不同的测试结构来测试和保证良率和可制造性。为了提高芯片面积的利用率,提出了测试芯片的寻址方法。本文提出了一种新的大规模可寻址测试芯片的开发方法。基于自动化组件,该流程是完全集成的,能够将布局时间减少到10%,并消除了大部分人为错误的可能性。使用此程序设计和制造了45纳米技术的32×32阵列;硅测试数据进一步证明了该方法的可靠性和有效性。
{"title":"A fully automated large-scale addressable test chip design with high reliability","authors":"Bo Zhang, Weiwei Pan, Yongjun Zheng, Zheng Shi, Xiaolang Yan","doi":"10.1109/ECCTD.2011.6043609","DOIUrl":"https://doi.org/10.1109/ECCTD.2011.6043609","url":null,"abstract":"During the development of modern semiconductor processes, which has increasing complexity and an extremely high number of degrees of freedom, a large number of distinct test structures are required to test and ensure the yield and manufacturability. To increase the utilization of chip area, addressable methodology of test chip is developed. In this paper, we present a novel large-scale addressable test chip development procedure. Based on components for automation, this procedure is fully integrated and able to reduce layout time to 10% and eliminate much of the potential for human error. A 32×32 array on a 45nm technology has been designed and manufactured with this procedure; the silicon test data further prove the reliability and effectiveness of this procedure.","PeriodicalId":126960,"journal":{"name":"2011 20th European Conference on Circuit Theory and Design (ECCTD)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2011-10-13","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132210977","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
期刊
2011 20th European Conference on Circuit Theory and Design (ECCTD)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1