首页 > 最新文献

International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
A power efficient and digitally assisted CMOS complementary telescopic amplifier with wide input common mode range 功率效率和数字辅助CMOS互补伸缩放大器与宽输入共模范围
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523645
R. Todani, A. K. Mal
Telescopic amplifiers are often preferred for their large DC gain, low power dissipation and low flicker noise. On the other side, they suffer from serious problems like poor input common mode range and output swing. In this work, a simple technique to extract improved common mode range from telescopic structure is presented. To achieve this, two telescopic amplifiers using complementary differential pairs are utilized. The proposed design incorporates a digital switching technique which allows switching between high performance and low power modes. Low power mode allows reduction in power dissipation with compromise on elevated performance parameter. The entire design is verified using UMC 180 nm CMOS technology and the simulation results are presented.
伸缩式放大器由于其大的直流增益、低的功耗和低的闪烁噪声而经常被首选。另一方面,它们也存在输入共模范围差、输出摆幅差等严重问题。本文提出了一种从伸缩结构中提取改进共模范围的简单方法。为了实现这一点,两个使用互补差分对的伸缩放大器被利用。所提出的设计包含一种数字切换技术,允许在高性能和低功耗模式之间切换。低功耗模式允许在牺牲性能参数的前提下降低功耗。采用UMC 180nm CMOS技术对整个设计进行了验证,并给出了仿真结果。
{"title":"A power efficient and digitally assisted CMOS complementary telescopic amplifier with wide input common mode range","authors":"R. Todani, A. K. Mal","doi":"10.1109/ISQED.2013.6523645","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523645","url":null,"abstract":"Telescopic amplifiers are often preferred for their large DC gain, low power dissipation and low flicker noise. On the other side, they suffer from serious problems like poor input common mode range and output swing. In this work, a simple technique to extract improved common mode range from telescopic structure is presented. To achieve this, two telescopic amplifiers using complementary differential pairs are utilized. The proposed design incorporates a digital switching technique which allows switching between high performance and low power modes. Low power mode allows reduction in power dissipation with compromise on elevated performance parameter. The entire design is verified using UMC 180 nm CMOS technology and the simulation results are presented.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133035705","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Peak power reduction of a sensor network processor fabricated with Deeply Depleted Channel transistors in 65nm technology 采用65nm深度耗尽通道晶体管制造的传感器网络处理器的峰值功耗降低
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523646
K. Kawakami, T. Shiro, Hironobu Yamasaki, K. Yoda, Hiroaki Fujimoto, K. Kawasaki, Yasuhiro Watanabe
We fabricated a low power sensor network processor with Deeply Depleted Channel (DDC) transistors of 65 nm technology, which has distinguishing device structure and enables variation of threshold voltage (Vth) of transistors to decrease. At the optimal voltage condition to achieve the same maximum operating frequency, measurement result shows that the DDC process achieves a 47.0 % of peak power reduction for the micro controller unit (MCU) compared to the conventional low power (LP) process. Measurement result also shows the DDC process improves 56.5 % and 15.0 % of operating frequency, 200 mV and 50 mV of supply voltage margin, or 23.8 % and 19.0 % of power reduction for the MCU and 320 KB SRAM, respectively, even if the Vth of the LP process is adjusted to that of the DDC process. The paper has also discussed the optimal voltage control method, which is suitable for the various applications of sensor network processors.
采用65纳米深度耗尽通道(deep depletion Channel, DDC)晶体管制作了一种低功耗传感器网络处理器,该处理器具有独特的器件结构,可以减小晶体管阈值电压(Vth)的变化。在获得相同最大工作频率的最佳电压条件下,测量结果表明,与传统的低功耗(LP)工艺相比,DDC工艺使微控制器单元(MCU)的峰值功耗降低了47.0%。测量结果也显示了DDC流程提高工作频率的56.5%和15.0%,200 mV和50 mV的电源电压,或23.8%和19.0%的功率降低单片机和320 KB的SRAM,分别,即使Vth LP过程的调整,DDC的过程。本文还讨论了适用于传感器网络处理器各种应用的最优电压控制方法。
{"title":"Peak power reduction of a sensor network processor fabricated with Deeply Depleted Channel transistors in 65nm technology","authors":"K. Kawakami, T. Shiro, Hironobu Yamasaki, K. Yoda, Hiroaki Fujimoto, K. Kawasaki, Yasuhiro Watanabe","doi":"10.1109/ISQED.2013.6523646","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523646","url":null,"abstract":"We fabricated a low power sensor network processor with Deeply Depleted Channel (DDC) transistors of 65 nm technology, which has distinguishing device structure and enables variation of threshold voltage (Vth) of transistors to decrease. At the optimal voltage condition to achieve the same maximum operating frequency, measurement result shows that the DDC process achieves a 47.0 % of peak power reduction for the micro controller unit (MCU) compared to the conventional low power (LP) process. Measurement result also shows the DDC process improves 56.5 % and 15.0 % of operating frequency, 200 mV and 50 mV of supply voltage margin, or 23.8 % and 19.0 % of power reduction for the MCU and 320 KB SRAM, respectively, even if the Vth of the LP process is adjusted to that of the DDC process. The paper has also discussed the optimal voltage control method, which is suitable for the various applications of sensor network processors.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132285253","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
System-level modelling of dynamic reconfigurable designs using functional programming abstractions 使用函数式编程抽象的动态可重构设计的系统级建模
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523639
Bahram N. Uchevler, K. Svarstad, J. Kuper, Christiaan Baaij
With the increasing size and complexity of designs in electronics, new approaches are required for the description and verification of digital circuits, specifically at the system level. Functional HDLs can appear as an advantageous choice for formal verification and high-level descriptions. In this paper we explain how to use high-level structures and concepts like higher-order functions, and parametrization together with partial evaluation implementation technique, to describe run-time reconfigurable systems in Haskell. We use the CLaSH tool to translate high-level Haskell descriptions into RT level, synthesizable VHDL. A simple design is used to show the ideas and is implemented on Suzaku-sz410 board for practical proof of concept.
随着电子设计的尺寸和复杂性的增加,需要新的方法来描述和验证数字电路,特别是在系统级。对于正式验证和高级描述来说,功能性hdl是一种有利的选择。在本文中,我们解释了如何使用高级结构和概念,如高阶函数,参数化和部分求值实现技术,来描述Haskell中的运行时可重构系统。我们使用CLaSH工具将高级Haskell描述转换为RT级别的、可合成的VHDL。用一个简单的设计来展示思想,并在Suzaku-sz410板上实现了概念的实际验证。
{"title":"System-level modelling of dynamic reconfigurable designs using functional programming abstractions","authors":"Bahram N. Uchevler, K. Svarstad, J. Kuper, Christiaan Baaij","doi":"10.1109/ISQED.2013.6523639","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523639","url":null,"abstract":"With the increasing size and complexity of designs in electronics, new approaches are required for the description and verification of digital circuits, specifically at the system level. Functional HDLs can appear as an advantageous choice for formal verification and high-level descriptions. In this paper we explain how to use high-level structures and concepts like higher-order functions, and parametrization together with partial evaluation implementation technique, to describe run-time reconfigurable systems in Haskell. We use the CLaSH tool to translate high-level Haskell descriptions into RT level, synthesizable VHDL. A simple design is used to show the ideas and is implemented on Suzaku-sz410 board for practical proof of concept.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133178950","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 5
Analysis and comparison of XOR cell structures for low voltage circuit design 低压电路设计中异或单元结构的分析与比较
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523687
S. Nishizawa, T. Ishihara, H. Onodera
The performance of standard cells has a strong impact on the performance of a circuit synthesized with the cells. Although a complementary CMOS logic is usually used in the standard cells, it is known that a pass transistor logic can improve the performance of a circuit with a smaller area in some cases. We evaluate different types of XOR cells in different voltage conditions. Results show that the dual pass transistor XOR has a better performance than the complementary CMOS XOR in 0.6V operation, while the complementary CMOS XOR has a better performance in 1.2 V operation. More specifically, the area and the power consumption of a benchmark circuit composed of the dual pass transistor XOR can be reduced by 24% and 35%, respectively, compared to those of the same circuit composed of the complementary CMOS XOR in 0.6V operation.
标准电池的性能对用标准电池合成的电路的性能有很大的影响。虽然互补的CMOS逻辑通常用于标准单元,但众所周知,在某些情况下,通过晶体管逻辑可以提高具有较小面积的电路的性能。我们评估了不同电压条件下不同类型的异或电池。结果表明,双通晶体管XOR在0.6V工作时的性能优于互补CMOS XOR,而互补CMOS XOR在1.2 V工作时的性能优于互补CMOS XOR。更具体地说,由双通晶体管XOR组成的基准电路在0.6V工作时,与由互补CMOS XOR组成的相同电路相比,其面积和功耗分别可减少24%和35%。
{"title":"Analysis and comparison of XOR cell structures for low voltage circuit design","authors":"S. Nishizawa, T. Ishihara, H. Onodera","doi":"10.1109/ISQED.2013.6523687","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523687","url":null,"abstract":"The performance of standard cells has a strong impact on the performance of a circuit synthesized with the cells. Although a complementary CMOS logic is usually used in the standard cells, it is known that a pass transistor logic can improve the performance of a circuit with a smaller area in some cases. We evaluate different types of XOR cells in different voltage conditions. Results show that the dual pass transistor XOR has a better performance than the complementary CMOS XOR in 0.6V operation, while the complementary CMOS XOR has a better performance in 1.2 V operation. More specifically, the area and the power consumption of a benchmark circuit composed of the dual pass transistor XOR can be reduced by 24% and 35%, respectively, compared to those of the same circuit composed of the complementary CMOS XOR in 0.6V operation.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133322215","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 17
Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation 针对软错误漏洞缓解的高级综合中的成本效益调度
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523658
Yuko Hara-Azumi, H. Tomiyama
Due to the continuous reduction in chip feature size and supply voltage, soft errors are becoming a serious problem in the today's LSI design. Most literature on system-level design techniques has been conventionally tackling this issue by spatial and/or temporal modular redundancy, whose cost in circuit area and performance is large. This paper proposes a soft error-aware scheduling method in high-level synthesis (HLS), which does not rely on such expensive, conventional techniques. The reliability of the datapath circuit is determined not only by that of hardware resources to which operations and values are assigned, but also that of their active time (i.e., time during which operational results should be correct). By considering both of these factors, our proposed method schedules operations so that the reliability of HLS-generated datapath circuits can be maximized under designer-given area/latency constraints. Experimental results demonstrate the effectiveness of our method over existing methods, especially for strict area/latency constraints.
由于芯片特征尺寸和电源电压的不断减小,软误差正在成为当今LSI设计中的一个严重问题。大多数关于系统级设计技术的文献传统上都是通过空间和/或时间模块冗余来解决这个问题,这在电路面积和性能上的成本很大。本文提出了一种高级综合(HLS)中的软错误感知调度方法,该方法不依赖于昂贵的传统技术。数据路径电路的可靠性不仅取决于分配给其操作和值的硬件资源的可靠性,还取决于它们的活动时间(即操作结果应该正确的时间)。通过考虑这两个因素,我们提出的方法调度操作,使hls生成的数据路径电路的可靠性可以在设计人员给定的面积/延迟约束下最大化。实验结果证明了我们的方法比现有方法的有效性,特别是在严格的面积/延迟约束下。
{"title":"Cost-efficient scheduling in high-level synthesis for Soft-Error Vulnerability Mitigation","authors":"Yuko Hara-Azumi, H. Tomiyama","doi":"10.1109/ISQED.2013.6523658","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523658","url":null,"abstract":"Due to the continuous reduction in chip feature size and supply voltage, soft errors are becoming a serious problem in the today's LSI design. Most literature on system-level design techniques has been conventionally tackling this issue by spatial and/or temporal modular redundancy, whose cost in circuit area and performance is large. This paper proposes a soft error-aware scheduling method in high-level synthesis (HLS), which does not rely on such expensive, conventional techniques. The reliability of the datapath circuit is determined not only by that of hardware resources to which operations and values are assigned, but also that of their active time (i.e., time during which operational results should be correct). By considering both of these factors, our proposed method schedules operations so that the reliability of HLS-generated datapath circuits can be maximized under designer-given area/latency constraints. Experimental results demonstrate the effectiveness of our method over existing methods, especially for strict area/latency constraints.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130522779","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 8
Low power and compact mixed-mode signal processing hardware using spin-neurons 使用自旋神经元的低功耗和紧凑的混合模式信号处理硬件
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523609
M. Sharad, Deliang Fan, K. Roy
CMOS Digital signal processing hardware are power efficient but consume large area, whereas, analog processing units, based on CMOS technology are compact, but power hungry. Emerging magneto-metallic spin-torque devices like domain wall magnets can however perform analog-mode computation like summation and thresholding at ultra low voltage. Such devices can be exploited in designing spin-CMOS hybrid analog processing units that are compact as well as low power. In this work we present a mixed-mode signal processing scheme employing “domain wall neurons” that involves energy efficient analog-mode computation upon digital data. Simulation results for 8-bit, 16-tap FIR filter show that such a design can achieve 10x lower power consumption and 16x lower area as compared to an optimized digital CMOS design at the same technology node. In such a design area saving can be traded off for enhanced power savings, depending upon the target application.
数字信号处理硬件节能,但占用面积大,而基于CMOS技术的模拟处理单元紧凑,但耗电大。然而,新兴的磁金属自旋力矩器件,如畴壁磁体,可以在超低电压下进行模拟模式计算,如求和和阈值。这种器件可用于设计紧凑和低功耗的自旋- cmos混合模拟处理单元。在这项工作中,我们提出了一种采用“域壁神经元”的混合模式信号处理方案,该方案涉及对数字数据进行节能模拟模式计算。对8位16分接FIR滤波器的仿真结果表明,在相同的技术节点上,与优化的数字CMOS设计相比,这种设计可以实现低10倍的功耗和低16倍的面积。在这样的设计领域中,根据目标应用程序的不同,可以用节省来换取增强的电力节省。
{"title":"Low power and compact mixed-mode signal processing hardware using spin-neurons","authors":"M. Sharad, Deliang Fan, K. Roy","doi":"10.1109/ISQED.2013.6523609","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523609","url":null,"abstract":"CMOS Digital signal processing hardware are power efficient but consume large area, whereas, analog processing units, based on CMOS technology are compact, but power hungry. Emerging magneto-metallic spin-torque devices like domain wall magnets can however perform analog-mode computation like summation and thresholding at ultra low voltage. Such devices can be exploited in designing spin-CMOS hybrid analog processing units that are compact as well as low power. In this work we present a mixed-mode signal processing scheme employing “domain wall neurons” that involves energy efficient analog-mode computation upon digital data. Simulation results for 8-bit, 16-tap FIR filter show that such a design can achieve 10x lower power consumption and 16x lower area as compared to an optimized digital CMOS design at the same technology node. In such a design area saving can be traded off for enhanced power savings, depending upon the target application.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121197288","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
SUALD: Spacing uniformity-aware layout decomposition in triple patterning lithography 三模光刻中间距均匀性感知的版面分解
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523667
Zihao Chen, Hailong Yao, Yici Cai
In triple patterning lithography (TPL), balanced feature density on each layout mask helps facilitate the following OPC process. This paper presents the first spacing uniformity-aware layout decomposition method, called SUALD, which formulates the density optimization problem in TPL based on the spacings between locally adjacent features on each colored layout mask, and hence enhances the patterning quality. Based on the new density formulation, a spacing uniformity graph is built using the Voronoi diagram. An effective heuristic triple partitioning algorithm is also proposed for TPL layout decomposition. Experimental results are very promising and show that SUALD obtains 69% and 40% improvements in average in the presented density metrics over an integer linear programming method without density control.
在三重模式光刻(TPL)中,每个布局掩模上的平衡特征密度有助于促进以下OPC过程。本文提出了第一种空间均匀性感知的布局分解方法(SUALD),该方法基于每个彩色布局掩模上局部相邻特征之间的间距,提出了TPL中的密度优化问题,从而提高了图形质量。在新密度公式的基础上,利用Voronoi图建立了间距均匀性图。提出了一种有效的启发式三分区算法。实验结果表明,与没有密度控制的整数线性规划方法相比,该方法在密度度量上平均提高了69%和40%。
{"title":"SUALD: Spacing uniformity-aware layout decomposition in triple patterning lithography","authors":"Zihao Chen, Hailong Yao, Yici Cai","doi":"10.1109/ISQED.2013.6523667","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523667","url":null,"abstract":"In triple patterning lithography (TPL), balanced feature density on each layout mask helps facilitate the following OPC process. This paper presents the first spacing uniformity-aware layout decomposition method, called SUALD, which formulates the density optimization problem in TPL based on the spacings between locally adjacent features on each colored layout mask, and hence enhances the patterning quality. Based on the new density formulation, a spacing uniformity graph is built using the Voronoi diagram. An effective heuristic triple partitioning algorithm is also proposed for TPL layout decomposition. Experimental results are very promising and show that SUALD obtains 69% and 40% improvements in average in the presented density metrics over an integer linear programming method without density control.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121293524","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 14
On predicting NBTI-induced circuit aging by isolating leakage change 隔离泄漏变化预测nbti诱发电路老化的研究
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523589
Yinhe Han, Song Jin, Jibing Qiu, Q. Xu, Xiaowei Li
Negative bias temperature instability (NBTI) has become a serious concern for the lifetime reliability of integrated circuits. On-line aging prediction is a promising way to prevent NBTI-induced circuit failure. However, the ever-increasing parameter variations, design complexity and area overhead degrade the effectiveness of such delay detection-based scheme. In this paper, we propose to use the isolated leakage change in critical path from full-chip leakage measurement result to predict NBTI-induced circuit aging. The chip-level leakage changes under a set of measurement vectors are firstly formulated as an equation set. Solving this equation set can obtain leakage changes in the gates along the critical path. Then, we predict delay degradation on arbitrary critical path based on the correlation between leakage change and delay increase. Our scheme is immune to the runtime noise and accommodates process variation by increasing measurement time overhead. Experimental results demonstrate that our scheme can effectively predict NBTI-induced circuit aging with acceptable accuracy loss.
负偏置温度不稳定性(NBTI)已成为影响集成电路寿命可靠性的一个重要问题。在线老化预测是预防nbti引起的电路故障的一种很有前途的方法。然而,不断增加的参数变化、设计复杂性和面积开销降低了这种基于延迟检测的方案的有效性。在本文中,我们提出利用全芯片泄漏测量结果中关键路径的隔离泄漏变化来预测nbti引起的电路老化。首先将一组测量向量下的芯片级泄漏变化表示为一个方程集。求解该方程组可以得到沿临界路径的栅极泄漏变化。然后,基于泄漏变化与延迟增加之间的相关性,预测任意关键路径上的延迟退化。我们的方案不受运行时噪声的影响,并通过增加测量时间开销来适应过程变化。实验结果表明,该方法能有效预测nbti引起的电路老化,精度损失可接受。
{"title":"On predicting NBTI-induced circuit aging by isolating leakage change","authors":"Yinhe Han, Song Jin, Jibing Qiu, Q. Xu, Xiaowei Li","doi":"10.1109/ISQED.2013.6523589","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523589","url":null,"abstract":"Negative bias temperature instability (NBTI) has become a serious concern for the lifetime reliability of integrated circuits. On-line aging prediction is a promising way to prevent NBTI-induced circuit failure. However, the ever-increasing parameter variations, design complexity and area overhead degrade the effectiveness of such delay detection-based scheme. In this paper, we propose to use the isolated leakage change in critical path from full-chip leakage measurement result to predict NBTI-induced circuit aging. The chip-level leakage changes under a set of measurement vectors are firstly formulated as an equation set. Solving this equation set can obtain leakage changes in the gates along the critical path. Then, we predict delay degradation on arbitrary critical path based on the correlation between leakage change and delay increase. Our scheme is immune to the runtime noise and accommodates process variation by increasing measurement time overhead. Experimental results demonstrate that our scheme can effectively predict NBTI-induced circuit aging with acceptable accuracy loss.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115060127","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A 64-core platform for biomedical signal processing 64核生物医学信号处理平台
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523637
Jordan Bisasky, H. Homayoun, F. Yazdani, T. Mohsenin
This paper presents a programmable many-core platform containing 64 cores routed in a hierarchical network for biomedical signal processing applications. Individual core processors are based on a RISC architecture with DSP enhancement blocks. Given the number of conditional program loops in DSP applications such as FFT, additional hardware blocks are added that operate in parallel to each core processor. The two blocks calculate the FFT input addresses and determine if a conditional loop is necessary. Performing these operations in parallel to the main processor greatly reduces the time to completion for a DSP application. Each processor is implemented in 65 nm CMOS using standard cell libraries. The 64-core platform occupies 19.51 mm2 and runs at 1.18 GHz at 1 V. For demonstration, Electroencephalogram (EEG) seizure detection and analysis and ultrasound spectral doppler are mapped onto the cores. The seizure detection and analysis algorithm utilizes 60 processors and takes 890 ns to execute. Spectral doppler utilizes 29 processors and takes 715 ns to run.
提出了一种基于分层网络的64核可编程多核平台,用于生物医学信号处理。单个核心处理器基于带有DSP增强块的RISC架构。考虑到诸如FFT等DSP应用中条件程序循环的数量,增加了与每个核心处理器并行操作的附加硬件块。这两个块计算FFT输入地址并确定是否需要条件循环。与主处理器并行执行这些操作大大减少了DSP应用程序的完成时间。每个处理器都使用标准单元库在65nm CMOS中实现。64核平台占地19.51 mm2, 1v工作频率1.18 GHz。为了证明,脑电图(EEG)癫痫检测和分析和超声多普勒频谱被映射到核心。癫痫检测和分析算法使用60个处理器,执行时间为890ns。频谱多普勒使用29个处理器,运行时间为715 ns。
{"title":"A 64-core platform for biomedical signal processing","authors":"Jordan Bisasky, H. Homayoun, F. Yazdani, T. Mohsenin","doi":"10.1109/ISQED.2013.6523637","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523637","url":null,"abstract":"This paper presents a programmable many-core platform containing 64 cores routed in a hierarchical network for biomedical signal processing applications. Individual core processors are based on a RISC architecture with DSP enhancement blocks. Given the number of conditional program loops in DSP applications such as FFT, additional hardware blocks are added that operate in parallel to each core processor. The two blocks calculate the FFT input addresses and determine if a conditional loop is necessary. Performing these operations in parallel to the main processor greatly reduces the time to completion for a DSP application. Each processor is implemented in 65 nm CMOS using standard cell libraries. The 64-core platform occupies 19.51 mm2 and runs at 1.18 GHz at 1 V. For demonstration, Electroencephalogram (EEG) seizure detection and analysis and ultrasound spectral doppler are mapped onto the cores. The seizure detection and analysis algorithm utilizes 60 processors and takes 890 ns to execute. Spectral doppler utilizes 29 processors and takes 715 ns to run.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115099121","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 13
A 0.2nJ/sample 0.01mm2 ring oscillator based temperature sensor for on-chip thermal management 基于片上热管理的0.2nJ/样品0.01mm2环形振荡器的温度传感器
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523686
N. Testi, Yang Xu
Energy efficient and low area temperature sensors are critical for constantly monitoring the silicon temperature in high density integrated circuits. In this paper, a 0.2nJ/sample ring oscillator based temperature sensor is designed and fabricated in TSMC 65nm CMOS technology. The sensor achieves a maximum inaccuracy of ±3°C after 2-point calibration and a resolution of 0.3°C. Furthermore, a model is proposed to accurately predict the effect of the oscillator phase noise on the error of the sensor. The chip occupies only 0.01mm2.
在高密度集成电路中,高效节能和低面积温度传感器是持续监测硅温度的关键。本文采用台积电65nm CMOS工艺,设计并制作了一种基于0.2nJ/样品环振的温度传感器。2点校准后,传感器的最大误差为±3°C,分辨率为0.3°C。在此基础上,提出了一个能准确预测振荡器相位噪声对传感器误差影响的模型。该芯片占地面积仅为0.01mm2。
{"title":"A 0.2nJ/sample 0.01mm2 ring oscillator based temperature sensor for on-chip thermal management","authors":"N. Testi, Yang Xu","doi":"10.1109/ISQED.2013.6523686","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523686","url":null,"abstract":"Energy efficient and low area temperature sensors are critical for constantly monitoring the silicon temperature in high density integrated circuits. In this paper, a 0.2nJ/sample ring oscillator based temperature sensor is designed and fabricated in TSMC 65nm CMOS technology. The sensor achieves a maximum inaccuracy of ±3°C after 2-point calibration and a resolution of 0.3°C. Furthermore, a model is proposed to accurately predict the effect of the oscillator phase noise on the error of the sensor. The chip occupies only 0.01mm2.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129539840","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
期刊
International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1