首页 > 最新文献

International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
Evaluation of tunnel FET-based flip-flop designs for low power, high performance applications 基于隧道场效应晶体管的低功耗高性能触发器设计评估
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523647
M. Cotter, Huichu Liu, S. Datta, N. Vijaykrishnan
As proliferation of embedded systems and mobile devices increases, power has become one of the most paramount concerns in current microprocessor designs. Technology scaling has provided many benefits in terms of dynamic power; however, static power has become the bottleneck to reducing power. We address this by evaluating Tunnel FETs (TFETs) for use in low-power, high-performance flip-flop designs. Due to the nature of TFETs, some of the flip-flop designs that are evaluated require additional modifications beyond simple device replacement-most notably the pseudo-static D flip-flop (DFF). We find that despite these additional transistors, the low voltage TFET DFF provides clear advantages in power and energy combined with performance comparable to higher voltage MOSFET and FinFET designs.
随着嵌入式系统和移动设备的激增,功耗已成为当前微处理器设计中最重要的问题之一。技术扩展在动态功率方面提供了许多好处;然而,静电已成为降低功耗的瓶颈。我们通过评估隧道场效应管(tfet)在低功耗、高性能触发器设计中的应用来解决这个问题。由于tfet的性质,一些被评估的触发器设计需要额外的修改,而不是简单的器件更换-最明显的是伪静态D触发器(DFF)。我们发现,尽管有这些额外的晶体管,低压TFET DFF在功率和能量方面具有明显的优势,其性能可与高压MOSFET和FinFET设计相媲美。
{"title":"Evaluation of tunnel FET-based flip-flop designs for low power, high performance applications","authors":"M. Cotter, Huichu Liu, S. Datta, N. Vijaykrishnan","doi":"10.1109/ISQED.2013.6523647","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523647","url":null,"abstract":"As proliferation of embedded systems and mobile devices increases, power has become one of the most paramount concerns in current microprocessor designs. Technology scaling has provided many benefits in terms of dynamic power; however, static power has become the bottleneck to reducing power. We address this by evaluating Tunnel FETs (TFETs) for use in low-power, high-performance flip-flop designs. Due to the nature of TFETs, some of the flip-flop designs that are evaluated require additional modifications beyond simple device replacement-most notably the pseudo-static D flip-flop (DFF). We find that despite these additional transistors, the low voltage TFET DFF provides clear advantages in power and energy combined with performance comparable to higher voltage MOSFET and FinFET designs.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130272879","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 23
Framework for analog test coverage 模拟测试覆盖的框架
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523653
D. Bhatta, I. Mukhopadhyay, S. Natarajan, P. Goteti, Bin Xue
Measurement of the quality of tests run during high volume manufacturing of microprocessors is important to ensure desired outgoing product quality. For digital logic on die, such measurement is performed using techniques such as fast event-driven fault simulation using mature fault models such as stuck-at and transition faults. For analog modules on die, such test quality measurement is not performed in practice due to lack of (a) mature fault models to describe analog failures, and (b) automated, efficient and accurate fault simulation methods. This work is a first step towards our objective of establishing a practical methodology to measure analog test quality. We show promising results of a semi-automated fault simulation approach on analog modules of a high speed serial IO receiver that compares (a) two manufacturing tests in terms of their defect detection capability as measured by their fault coverages for gross and parametric faults, and, (b) the accuracy and performance of using models versus schematics for fault effect propagation.
在微处理器的大批量生产过程中,测试质量的测量对于确保期望的输出产品质量非常重要。对于芯片上的数字逻辑,这种测量是使用快速事件驱动故障模拟等技术来执行的,这些技术使用成熟的故障模型,如卡滞故障和过渡故障。对于模具上的模拟模块,由于缺乏(a)成熟的故障模型来描述模拟故障,以及(b)自动化、高效和准确的故障模拟方法,因此在实践中没有进行这种测试质量测量。这项工作是我们建立一种实用的方法来测量模拟测试质量的目标的第一步。我们在高速串行IO接收器的模拟模块上展示了半自动故障模拟方法的有希望的结果,该方法比较了(a)两种制造测试的缺陷检测能力,这是由它们对总故障和参数故障的故障覆盖率来衡量的,以及(b)使用模型与原理图进行故障影响传播的准确性和性能。
{"title":"Framework for analog test coverage","authors":"D. Bhatta, I. Mukhopadhyay, S. Natarajan, P. Goteti, Bin Xue","doi":"10.1109/ISQED.2013.6523653","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523653","url":null,"abstract":"Measurement of the quality of tests run during high volume manufacturing of microprocessors is important to ensure desired outgoing product quality. For digital logic on die, such measurement is performed using techniques such as fast event-driven fault simulation using mature fault models such as stuck-at and transition faults. For analog modules on die, such test quality measurement is not performed in practice due to lack of (a) mature fault models to describe analog failures, and (b) automated, efficient and accurate fault simulation methods. This work is a first step towards our objective of establishing a practical methodology to measure analog test quality. We show promising results of a semi-automated fault simulation approach on analog modules of a high speed serial IO receiver that compares (a) two manufacturing tests in terms of their defect detection capability as measured by their fault coverages for gross and parametric faults, and, (b) the accuracy and performance of using models versus schematics for fault effect propagation.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129293267","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Reliability-aware and energy-efficient synthesis of NoC based MPSoCs 基于NoC的mpsoc的可靠性感知和节能合成
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523678
Yong Zou, S. Pasricha
In sub-65nm CMOS process technologies, networks-on-chip (NoC) are increasingly susceptible to transient faults (i.e., soft errors). To achieve fault tolerance, Triple Modular Redundancy (TMR) and Hamming Error Correction Codes (HECC) are often employed by designers to protect buffers used in NoC components. However, these mechanisms to achieve fault resilience introduce power dissipation overheads that can disrupt stringent chip power budgets and thermal constraints. In this paper, we propose a novel design-time framework (RESYN) to trade-off energy consumption and reliability in the NoC fabric at the system level for MPSoCs. RESYN employs a nested evolutionary algorithm approach to guide the mapping of cores on a die, and opportunistically determine locations to insert fault tolerance mechanisms in the NoC to minimize energy while satisfying reliability constraints. Our experimental results show that RESYN can reduce energy costs by 14.5% on average compared to a fully protected NoC, while still maintaining more than a 90% fault tolerance. If higher levels of reliability are desired, RESYN can generate a Pareto set of solutions allowing designers to select the most energy-efficient solution for any reliability goal. Given the increasing importance of reliability in the nanometer era for MPSoCs, this work provides important perspectives that can guide the reduction of overheads of reliable NoC design.
在65nm以下的CMOS工艺技术中,片上网络(NoC)越来越容易受到瞬态故障(即软错误)的影响。为了实现容错,设计人员经常使用三模冗余(TMR)和汉明纠错码(HECC)来保护NoC组件中使用的缓冲区。然而,这些实现故障恢复的机制引入了功耗开销,可能会破坏严格的芯片功率预算和热约束。在本文中,我们提出了一种新的设计时框架(RESYN)来权衡mpsoc系统级NoC结构中的能耗和可靠性。RESYN采用嵌套进化算法方法来指导内核在芯片上的映射,并根据需要确定在NoC中插入容错机制的位置,以在满足可靠性约束的同时最小化能量。我们的实验结果表明,与完全保护的NoC相比,RESYN可以平均降低14.5%的能源成本,同时仍然保持90%以上的容错性。如果需要更高级别的可靠性,RESYN可以生成一个帕累托解决方案集,允许设计人员为任何可靠性目标选择最节能的解决方案。考虑到纳米时代mpsoc可靠性的重要性日益增加,这项工作提供了重要的视角,可以指导降低可靠NoC设计的开销。
{"title":"Reliability-aware and energy-efficient synthesis of NoC based MPSoCs","authors":"Yong Zou, S. Pasricha","doi":"10.1109/ISQED.2013.6523678","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523678","url":null,"abstract":"In sub-65nm CMOS process technologies, networks-on-chip (NoC) are increasingly susceptible to transient faults (i.e., soft errors). To achieve fault tolerance, Triple Modular Redundancy (TMR) and Hamming Error Correction Codes (HECC) are often employed by designers to protect buffers used in NoC components. However, these mechanisms to achieve fault resilience introduce power dissipation overheads that can disrupt stringent chip power budgets and thermal constraints. In this paper, we propose a novel design-time framework (RESYN) to trade-off energy consumption and reliability in the NoC fabric at the system level for MPSoCs. RESYN employs a nested evolutionary algorithm approach to guide the mapping of cores on a die, and opportunistically determine locations to insert fault tolerance mechanisms in the NoC to minimize energy while satisfying reliability constraints. Our experimental results show that RESYN can reduce energy costs by 14.5% on average compared to a fully protected NoC, while still maintaining more than a 90% fault tolerance. If higher levels of reliability are desired, RESYN can generate a Pareto set of solutions allowing designers to select the most energy-efficient solution for any reliability goal. Given the increasing importance of reliability in the nanometer era for MPSoCs, this work provides important perspectives that can guide the reduction of overheads of reliable NoC design.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130955895","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
Manufacturable nanometer designs using standard cells with regular layout 可制造的纳米设计使用标准电池与规则布局
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523642
K. Subramaniyan, P. Larsson-Edefors
In addition to performance considerations, designing VLSI circuits at nanometer-scale process technology nodes demands considerations related to manufacturability and cost. Regular layout patterns are known to enhance resilience to random as well as certain types of systematic variations. In this contribution we assess the implications of this layout regularity using design automation for Critical Feature Analysis (CFA) and raw metrics, such as via count. Using the ISCAS'89 benchmark suite, for each benchmark circuit we compare place-and-route implementations that are based on semi-regular and ultra-regular cell layouts. While the CFA counter-intuitively suggests that implementations using ultra-regular layouts have lower Design for Manufacturability (DFM) scores than those using semi-regular layouts, we find that ultra-regular layouts yield implementations with an average of 22% fewer vias at the cost of a small wire length increase.
除了性能考虑外,在纳米级工艺技术节点上设计VLSI电路还需要考虑与可制造性和成本相关的因素。众所周知,规则的布局模式可以增强对随机以及某些类型的系统变化的弹性。在本文中,我们使用关键特征分析(CFA)的设计自动化和原始度量(如通过计数)来评估这种布局规则的含义。使用ISCAS'89基准测试套件,对于每个基准测试电路,我们比较了基于半规则和超规则单元布局的放置和路由实现。虽然CFA反直觉地表明,使用超规则布局的实现比使用半规则布局的实现具有更低的可制造性设计(DFM)分数,但我们发现,超规则布局的实现平均减少了22%的过孔,代价是增加了一小段导线长度。
{"title":"Manufacturable nanometer designs using standard cells with regular layout","authors":"K. Subramaniyan, P. Larsson-Edefors","doi":"10.1109/ISQED.2013.6523642","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523642","url":null,"abstract":"In addition to performance considerations, designing VLSI circuits at nanometer-scale process technology nodes demands considerations related to manufacturability and cost. Regular layout patterns are known to enhance resilience to random as well as certain types of systematic variations. In this contribution we assess the implications of this layout regularity using design automation for Critical Feature Analysis (CFA) and raw metrics, such as via count. Using the ISCAS'89 benchmark suite, for each benchmark circuit we compare place-and-route implementations that are based on semi-regular and ultra-regular cell layouts. While the CFA counter-intuitively suggests that implementations using ultra-regular layouts have lower Design for Manufacturability (DFM) scores than those using semi-regular layouts, we find that ultra-regular layouts yield implementations with an average of 22% fewer vias at the cost of a small wire length increase.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129927221","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Low power sensor for temperature compensation in molecular biosensing 分子生物传感中用于温度补偿的低功耗传感器
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523644
D. Venuto
A low power smart temperature sensor followed by an SC amplifier and a 12bit Successive-Approximation analogue-digital converter (ADC) to compensate temperature deviation in drug electrochemical detection, is here presented. The proposed design is accurate within 0.1°C over the temperature range of -55°C to 125°C. A PTAT voltage is used for temperature monitoring. The succeeding ADC digitizes the output with a bit-clock of 50-kHz. The ADC has a Figure-of-Merit of 66 fJ/conversion-step. The system is implemented in an NXP CMOS 0.14μm technology. The die area is 0.21 mm2 and the whole system consumes less than 16μW for 1.2V of voltage supply.
本文介绍了一种低功耗智能温度传感器,该传感器采用SC放大器和12位连续逼近模数转换器(ADC)来补偿药物电化学检测中的温度偏差。在-55°C至125°C的温度范围内,所提出的设计在0.1°C内精确。PTAT电压用于温度监测。随后的ADC用50 khz的位时钟对输出进行数字化。ADC的优值为66 fJ/转换步长。该系统采用NXP CMOS 0.14μm工艺实现。芯片面积为0.21 mm2,在1.2V电压下,整个系统功耗小于16μW。
{"title":"Low power sensor for temperature compensation in molecular biosensing","authors":"D. Venuto","doi":"10.1109/ISQED.2013.6523644","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523644","url":null,"abstract":"A low power smart temperature sensor followed by an SC amplifier and a 12bit Successive-Approximation analogue-digital converter (ADC) to compensate temperature deviation in drug electrochemical detection, is here presented. The proposed design is accurate within 0.1°C over the temperature range of -55°C to 125°C. A PTAT voltage is used for temperature monitoring. The succeeding ADC digitizes the output with a bit-clock of 50-kHz. The ADC has a Figure-of-Merit of 66 fJ/conversion-step. The system is implemented in an NXP CMOS 0.14μm technology. The die area is 0.21 mm2 and the whole system consumes less than 16μW for 1.2V of voltage supply.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121844236","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
CPDI: Cross-power-domain interface circuit design in monolithic 3D technology 单片三维技术中的跨功率域接口电路设计
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523649
Jing Xie, Yang Du, Yuan Xie
Optimizing energy consumption for electronic systems has been an important design focus. Multi-power domain design is widely used for low power and high performance applications. Data transfer between power domains needs a cross power domain interface (CPDI). The existing level-conversion flip-flop (LCFF) structures all need dual power rails, which leads to large area and performance overhead. In this paper, we propose a CPDI circuit utilizing monolithic 3D technology. This interface functions as a flip-flop and provides reliable data conversion from one power domain to another. Our design separates power rails in each tier, substantially reducing physical design complexity and area penalty. The design is implemented in a 45nm low power technology. It shows 20%-35% smaller clock to Q and 30% energy saving comparing with existing LCFF designs. The proposed design also shows better robustness with ±10% voltage variation.
优化电子系统的能耗一直是一个重要的设计焦点。多功率域设计广泛应用于低功耗和高性能应用。电源域之间的数据传输需要一个跨电源域接口(CPDI)。现有的电平转换触发器(LCFF)结构都需要双电源轨,这导致了较大的面积和性能开销。在本文中,我们提出了一种利用单片三维技术的CPDI电路。该接口的功能类似于触发器,提供从一个电源域到另一个电源域的可靠数据转换。我们的设计将每层的电源轨道分开,大大降低了物理设计的复杂性和面积损失。该设计采用45纳米低功耗技术实现。与现有的LCFF设计相比,它的Q值减小了20%-35%,节能30%。在电压变化为±10%时,该设计具有较好的鲁棒性。
{"title":"CPDI: Cross-power-domain interface circuit design in monolithic 3D technology","authors":"Jing Xie, Yang Du, Yuan Xie","doi":"10.1109/ISQED.2013.6523649","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523649","url":null,"abstract":"Optimizing energy consumption for electronic systems has been an important design focus. Multi-power domain design is widely used for low power and high performance applications. Data transfer between power domains needs a cross power domain interface (CPDI). The existing level-conversion flip-flop (LCFF) structures all need dual power rails, which leads to large area and performance overhead. In this paper, we propose a CPDI circuit utilizing monolithic 3D technology. This interface functions as a flip-flop and provides reliable data conversion from one power domain to another. Our design separates power rails in each tier, substantially reducing physical design complexity and area penalty. The design is implemented in a 45nm low power technology. It shows 20%-35% smaller clock to Q and 30% energy saving comparing with existing LCFF designs. The proposed design also shows better robustness with ±10% voltage variation.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121011809","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Improving timing error tolerance without impact on chip area and power consumption 在不影响芯片面积和功耗的情况下提高时序误差容忍度
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523638
Ken Yano, Takanori Hayashida, Toshinori Sato
The demand of power saving and highly dependable LSI has increased by the miniaturization of device process technology and the spread of portable devices such as mobile phones. The design method which takes the worst case scenario makes the design margin too large because of the parameter variations in the deep submicron domain and it has serious impact for performance and power consumption. To deal with excessive design margins, typical-case design method with canary FF has been proposed so far. By using canary FF, variability-aware large guard band can be decreased. In this paper, we describe how canary FF can be integrated in a typical digital circuit design flow in detail and analyze the area and power overheads compared with the worst-case design method. The analysis is done by implementing two conventional 32-bit RISC processor cores; miniMIPS and MeP (Media Embedded Processor). The results show that our proposed method can reduce chip areas effectively and power overhead can be reduced to very small.
随着器件工艺技术的小型化和移动电话等便携式设备的普及,对低功耗、高可靠性的大规模集成电路的需求日益增加。考虑最坏情况的设计方法由于深亚微米域的参数变化,使得设计余量过大,对性能和功耗有严重影响。为了解决设计余量过大的问题,目前提出了带金丝雀FF的典型案例设计方法。通过使用金丝雀式FF,可以减小可变感知的大保护带。在本文中,我们详细描述了如何将金丝雀FF集成到典型的数字电路设计流程中,并分析了与最坏情况设计方法相比的面积和功耗开销。分析是通过实现两个传统的32位RISC处理器内核来完成的;miniMIPS和MeP(媒体嵌入式处理器)。结果表明,该方法可以有效地减小芯片面积,并将功耗降至极小。
{"title":"Improving timing error tolerance without impact on chip area and power consumption","authors":"Ken Yano, Takanori Hayashida, Toshinori Sato","doi":"10.1109/ISQED.2013.6523638","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523638","url":null,"abstract":"The demand of power saving and highly dependable LSI has increased by the miniaturization of device process technology and the spread of portable devices such as mobile phones. The design method which takes the worst case scenario makes the design margin too large because of the parameter variations in the deep submicron domain and it has serious impact for performance and power consumption. To deal with excessive design margins, typical-case design method with canary FF has been proposed so far. By using canary FF, variability-aware large guard band can be decreased. In this paper, we describe how canary FF can be integrated in a typical digital circuit design flow in detail and analyze the area and power overheads compared with the worst-case design method. The analysis is done by implementing two conventional 32-bit RISC processor cores; miniMIPS and MeP (Media Embedded Processor). The results show that our proposed method can reduce chip areas effectively and power overhead can be reduced to very small.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121042521","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Runtime 3-D stacked cache management for chip-multiprocessors 芯片多处理器的运行时3-D堆叠缓存管理
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523592
Jongpil Jung, K. Kang, G. Micheli, C. Kyung
Three-dimensional (3-D) memory stacking is one of the most promising solutions to tackle memory bandwidth problems in chip multiprocessors. In this work, we propose an efficient runtime 3-D cache management technique which not only takes advantage of the low memory access latency through vertical interconnections, but also exploits runtime memory access demand of applications which varies dynamically with time. Experimental results show that the proposed method offers performance improvement by up to 26.7% and on average 13.1% compared with a configuration of private stacked cache.
三维(3-D)内存堆叠是解决芯片多处理器内存带宽问题最有前途的解决方案之一。在这项工作中,我们提出了一种高效的运行时三维缓存管理技术,该技术不仅利用了垂直互连的低内存访问延迟,而且利用了应用程序随时间动态变化的运行时内存访问需求。实验结果表明,与私有堆叠缓存配置相比,该方法的性能提高了26.7%,平均提高了13.1%。
{"title":"Runtime 3-D stacked cache management for chip-multiprocessors","authors":"Jongpil Jung, K. Kang, G. Micheli, C. Kyung","doi":"10.1109/ISQED.2013.6523592","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523592","url":null,"abstract":"Three-dimensional (3-D) memory stacking is one of the most promising solutions to tackle memory bandwidth problems in chip multiprocessors. In this work, we propose an efficient runtime 3-D cache management technique which not only takes advantage of the low memory access latency through vertical interconnections, but also exploits runtime memory access demand of applications which varies dynamically with time. Experimental results show that the proposed method offers performance improvement by up to 26.7% and on average 13.1% compared with a configuration of private stacked cache.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133678362","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Reliability-constrained die stacking order in 3DICs under manufacturing variability 制造可变性下的三维集成电路可靠性约束的模具堆叠顺序
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523584
T. Chan, A. Kahng, Jiajia Li
3D integrated circuits (3DICs) with through-silicon vias (TSVs) are an important direction for semiconductor-based products and “More than Moore” scaling. However, 3DICs bring simultaneous challenges of reliability (power and temperature in stacks of thinned die) as well as variability (performance and power) in advanced technology nodes. In this paper, we study variability-reliability interactions and optimizations in 3DICs. Initial motivating studies show that in the presence of manufacturing variability, different die stacking orders can lead to as much as 2 years (~44%) difference in MTTF of a 3DIC stack. We study MTTF-driven die-stacking optimization with consideration of variability, and propose a “rule-of-thumb” guideline for stacking optimization to improve peak temperature as well as reliability in 3DICs. We also propose integer-linear programming (ILP) methods for reliability-driven die-stacking optimization. Our methods can achieve ~7% and ~28% improvement in average and minimum MTTF, respectively, of 3DICs; we also achieve ~3% improvement in performance under fixed reliability constraints. Our stacking optimizations can help improve 3DIC product yields under reliability requirements. Our research also yields the notable observation that a limited amount of manufacturing variation can “help” improve 3DIC product reliability when die-stacking optimization is applied.
具有硅通孔(tsv)的三维集成电路(3dic)是半导体产品和“超越摩尔”缩放的重要方向。然而,3dic同时带来了可靠性(薄芯片堆栈中的功率和温度)以及先进技术节点中的可变性(性能和功率)的挑战。在本文中,我们研究了3dic中的变异性-可靠性交互和优化问题。最初的激励研究表明,在存在制造可变性的情况下,不同的模具堆叠顺序可能导致3DIC堆叠的MTTF差异长达2年(~44%)。我们研究了考虑可变性的mttf驱动的层叠优化,并提出了一个“经验法则”的层叠优化准则,以提高3dic的峰值温度和可靠性。我们还提出了可靠性驱动的模堆优化的整数线性规划(ILP)方法。我们的方法可以使3dic的平均MTTF和最小MTTF分别提高7%和28%;在固定的可靠性约束下,我们也实现了约3%的性能改进。我们的堆叠优化可以帮助提高可靠性要求下的3DIC产品良率。我们的研究还得出了一个值得注意的观察结果,即当应用模堆优化时,有限的制造变化可以“帮助”提高3DIC产品的可靠性。
{"title":"Reliability-constrained die stacking order in 3DICs under manufacturing variability","authors":"T. Chan, A. Kahng, Jiajia Li","doi":"10.1109/ISQED.2013.6523584","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523584","url":null,"abstract":"3D integrated circuits (3DICs) with through-silicon vias (TSVs) are an important direction for semiconductor-based products and “More than Moore” scaling. However, 3DICs bring simultaneous challenges of reliability (power and temperature in stacks of thinned die) as well as variability (performance and power) in advanced technology nodes. In this paper, we study variability-reliability interactions and optimizations in 3DICs. Initial motivating studies show that in the presence of manufacturing variability, different die stacking orders can lead to as much as 2 years (~44%) difference in MTTF of a 3DIC stack. We study MTTF-driven die-stacking optimization with consideration of variability, and propose a “rule-of-thumb” guideline for stacking optimization to improve peak temperature as well as reliability in 3DICs. We also propose integer-linear programming (ILP) methods for reliability-driven die-stacking optimization. Our methods can achieve ~7% and ~28% improvement in average and minimum MTTF, respectively, of 3DICs; we also achieve ~3% improvement in performance under fixed reliability constraints. Our stacking optimizations can help improve 3DIC product yields under reliability requirements. Our research also yields the notable observation that a limited amount of manufacturing variation can “help” improve 3DIC product reliability when die-stacking optimization is applied.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122186548","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability 一种新型的6T SRAM单元,具有非对称栅极underlap设计的finfet,可增强读取数据的稳定性和写入能力
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523634
S. Salahuddin, Hailong Jiao, V. Kursun
A new FinFET memory circuit technique based on asymmetrically gate underlap engineered bitline access transistors is proposed in this paper. The strengths of the asymmetrical bitline access transistors are weakened during read operations while enhanced during write operations as the direction of current flow is reversed. With the proposed asymmetrical six-FinFET SRAM cell, the read data stability and write ability are both enhanced by up to 6.12x and 58%, respectively, without causing any area overhead as compared to the standard symmetrical six-FinFET SRAM cells in a 15nm FinFET technology. The leakage power consumption is also reduced by up to 96.5% with the proposed asymmetrical FinFET SRAM cell as compared to the standard six-FinFET SRAM cells with symmetrical bitline access transistors.
本文提出了一种基于非对称门搭接工程位线存取晶体管的FinFET存储电路新技术。非对称位线访问晶体管的强度在读操作期间减弱,而在写操作期间由于电流方向相反而增强。与15nm FinFET技术中的标准对称6 -FinFET SRAM单元相比,所提出的非对称6 -FinFET SRAM单元的读取数据稳定性和写入能力分别提高了6.12倍和58%,而不会造成任何面积开销。与具有对称位线访问晶体管的标准6 -FinFET SRAM单元相比,所提出的非对称FinFET SRAM单元的泄漏功耗也降低了高达96.5%。
{"title":"A novel 6T SRAM cell with asymmetrically gate underlap engineered FinFETs for enhanced read data stability and write ability","authors":"S. Salahuddin, Hailong Jiao, V. Kursun","doi":"10.1109/ISQED.2013.6523634","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523634","url":null,"abstract":"A new FinFET memory circuit technique based on asymmetrically gate underlap engineered bitline access transistors is proposed in this paper. The strengths of the asymmetrical bitline access transistors are weakened during read operations while enhanced during write operations as the direction of current flow is reversed. With the proposed asymmetrical six-FinFET SRAM cell, the read data stability and write ability are both enhanced by up to 6.12x and 58%, respectively, without causing any area overhead as compared to the standard symmetrical six-FinFET SRAM cells in a 15nm FinFET technology. The leakage power consumption is also reduced by up to 96.5% with the proposed asymmetrical FinFET SRAM cell as compared to the standard six-FinFET SRAM cells with symmetrical bitline access transistors.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134366306","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 48
期刊
International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1