首页 > 最新文献

International Symposium on Quality Electronic Design (ISQED)最新文献

英文 中文
A novel and efficient method for power pad placement optimization 一种新颖而有效的电源板布局优化方法
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523604
T. Yu, Martin D. F. Wong
In this paper, we propose a novel and efficient iterative method for pad placement optimization of power grid with flip chip technology. Power grid with optimized pad placement has less IR-drop values. We develop a new method to calculate new locations of all pads. Placing pads at the new locations reduces local IR-drop values. In order to reduce global IR-drop values, we develop a graph-based strategy to decide which pads are moved to the new locations. After each movement of the pads, a static IR-drop analysis is performed. We develop multigrid accelerated modified Simulated Annealing method (MG_SA) and compare it with the proposed method on a set of test cases. Experimental results show that the proposed method outperforms MG_SA with similar or less IR-drop values and much less runtime.
在本文中,我们提出了一种新颖而有效的基于倒装芯片技术的电网衬垫布局优化迭代方法。优化栅极布局的电网具有更小的红外降值。我们开发了一种新的方法来计算所有垫的新位置。在新位置放置护垫可以减少局部ir下降值。为了减少全局ir下降值,我们开发了一个基于图的策略来决定哪些垫被移动到新的位置。每次移动垫片后,进行静态红外下降分析。我们开发了多网格加速改进模拟退火方法(MG_SA),并在一组测试用例上将其与所提出的方法进行了比较。实验结果表明,该方法在红外降值相近或更小的情况下优于MG_SA,且运行时间更短。
{"title":"A novel and efficient method for power pad placement optimization","authors":"T. Yu, Martin D. F. Wong","doi":"10.1109/ISQED.2013.6523604","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523604","url":null,"abstract":"In this paper, we propose a novel and efficient iterative method for pad placement optimization of power grid with flip chip technology. Power grid with optimized pad placement has less IR-drop values. We develop a new method to calculate new locations of all pads. Placing pads at the new locations reduces local IR-drop values. In order to reduce global IR-drop values, we develop a graph-based strategy to decide which pads are moved to the new locations. After each movement of the pads, a static IR-drop analysis is performed. We develop multigrid accelerated modified Simulated Annealing method (MG_SA) and compare it with the proposed method on a set of test cases. Experimental results show that the proposed method outperforms MG_SA with similar or less IR-drop values and much less runtime.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115593759","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Early system level modeling of real-time applications on embedded platforms 嵌入式平台上实时应用的早期系统级建模
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523666
Richard Lee, Karim Abdel-Khalek, S. Abdi, Frederic Risacher
This paper describes a methodology for developing abstract and executable system-level model in SystemC of real-time embedded software, targeted to an RTOS. We design a RTOS emulation layer, called RESC, on top of the SystemC kernel. The application software is linked against the emulation layer to create an executable model of the software. The model can be integrated into system level HW-SW models which can be used for fast, accurate and early system validation. We first identify key real-time software constructs such as task-level concurrency, priorities, timers, pulses, and message-passing communication. We, then, define equivalent abstractions of the constructs in RESC on top of the SystemC library. We validated our models using industrial-size examples such as MP3 decoder and Vocoder. The experimental results show that our models are very accurate (<; 1% error) and significantly faster (up to 11X) than real-time software execution on target platform.
本文介绍了一种以实时嵌入式软件为对象,在SystemC中开发抽象的、可执行的系统级模型的方法。我们在SystemC内核之上设计了一个RTOS仿真层,称为RESC。应用软件与仿真层相关联,以创建软件的可执行模型。该模型可集成到系统级HW-SW模型中,用于快速、准确和早期的系统验证。我们首先确定关键的实时软件结构,如任务级并发性、优先级、计时器、脉冲和消息传递通信。然后,我们在SystemC库的基础上定义RESC中构造的等价抽象。我们使用MP3解码器和声码器等工业规模的示例验证了我们的模型。实验结果表明,我们的模型非常准确(<;1%的错误),并且比目标平台上的实时软件执行要快得多(高达11倍)。
{"title":"Early system level modeling of real-time applications on embedded platforms","authors":"Richard Lee, Karim Abdel-Khalek, S. Abdi, Frederic Risacher","doi":"10.1109/ISQED.2013.6523666","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523666","url":null,"abstract":"This paper describes a methodology for developing abstract and executable system-level model in SystemC of real-time embedded software, targeted to an RTOS. We design a RTOS emulation layer, called RESC, on top of the SystemC kernel. The application software is linked against the emulation layer to create an executable model of the software. The model can be integrated into system level HW-SW models which can be used for fast, accurate and early system validation. We first identify key real-time software constructs such as task-level concurrency, priorities, timers, pulses, and message-passing communication. We, then, define equivalent abstractions of the constructs in RESC on top of the SystemC library. We validated our models using industrial-size examples such as MP3 decoder and Vocoder. The experimental results show that our models are very accurate (<; 1% error) and significantly faster (up to 11X) than real-time software execution on target platform.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115970108","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Fast analog design optimization using regression-based modeling and genetic algorithm: A nano-CMOS VCO case study 基于回归建模和遗传算法的快速模拟设计优化:纳米cmos压控振荡器案例研究
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523643
D. Ghai, S. Mohanty, G. Thakral
The mature electronic design automation (EDA) tools and well-defined abstraction-levels for digital circuits have almost automated the digital design process. However, analog circuit design and optimization is still not automated. Custom design of analog circuits and slow analog in SPICE has always needed maximum efforts, skills, design cycle time. This paper presents a novel design flow for constrained optimization of nano-CMOS analog circuits. The proposed analog design flow combines polynomial-regression based models and genetic algorithm for fast optimization. For evaluating the effectiveness of the proposed design flow, power minimization in a 50nm CMOS based current-starved voltage-controlled oscillator (VCO) is carried out, while treating oscillation frequency as a performance constraint. Accurate polynomial-regression based models are developed for power and frequency of the VCO. The goodness-of-fit of the models is evaluated using SSE, RMSE and R2. Using these models, we form a constrained optimization problem which is solved using genetic algorithm. The flow achieved 21.67% power savings, with a constraint of frequency ≥ 100 MHz. To the best of the authors' knowledge, this is the first study which approaches a VCO design problem as a mathematical constrained optimization involving the usage of regression based modeling and genetic algorithm.
成熟的电子设计自动化(EDA)工具和定义良好的数字电路抽象层几乎使数字设计过程自动化。然而,模拟电路的设计和优化仍然不是自动化的。SPICE中模拟电路和慢速模拟的定制设计一直需要最大的努力,技能和设计周期时间。本文提出了一种新的纳米cmos模拟电路约束优化设计流程。所提出的模拟设计流程结合了基于多项式回归模型和遗传算法的快速优化。为了评估所提出的设计流程的有效性,在将振荡频率作为性能约束的同时,对基于50nm CMOS的电流匮乏压控振荡器(VCO)进行了功率最小化。建立了精确的基于多项式回归的压控振荡器功率和频率模型。使用SSE、RMSE和R2来评估模型的拟合优度。利用这些模型,形成一个约束优化问题,并用遗传算法求解。在频率约束≥100 MHz的情况下,该流节省了21.67%的功率。据作者所知,这是第一个将VCO设计问题作为数学约束优化的研究,涉及使用基于回归的建模和遗传算法。
{"title":"Fast analog design optimization using regression-based modeling and genetic algorithm: A nano-CMOS VCO case study","authors":"D. Ghai, S. Mohanty, G. Thakral","doi":"10.1109/ISQED.2013.6523643","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523643","url":null,"abstract":"The mature electronic design automation (EDA) tools and well-defined abstraction-levels for digital circuits have almost automated the digital design process. However, analog circuit design and optimization is still not automated. Custom design of analog circuits and slow analog in SPICE has always needed maximum efforts, skills, design cycle time. This paper presents a novel design flow for constrained optimization of nano-CMOS analog circuits. The proposed analog design flow combines polynomial-regression based models and genetic algorithm for fast optimization. For evaluating the effectiveness of the proposed design flow, power minimization in a 50nm CMOS based current-starved voltage-controlled oscillator (VCO) is carried out, while treating oscillation frequency as a performance constraint. Accurate polynomial-regression based models are developed for power and frequency of the VCO. The goodness-of-fit of the models is evaluated using SSE, RMSE and R2. Using these models, we form a constrained optimization problem which is solved using genetic algorithm. The flow achieved 21.67% power savings, with a constraint of frequency ≥ 100 MHz. To the best of the authors' knowledge, this is the first study which approaches a VCO design problem as a mathematical constrained optimization involving the usage of regression based modeling and genetic algorithm.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125235039","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Vertically-addressed test structures (VATS) for 3D IC variability and stress measurements 垂直寻址测试结构(VATS),用于3D集成电路变异性和应力测量
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523596
C. O'Sullivan, P. Levine, S. Garg
We propose a new test array architecture-vertically-addressed test structures (VATS)-to experimentally characterize the within-tier and tier-to-tier process variations and through-silicon via (TSV) induced stress in 3D integrated circuits (ICs). The proposed VATS architecture utilizes the benefits of 3D integration to simultaneously provide high density, low I/O pin utilization, and high fidelity. A test chip featuring eight VATS arrays (>15,000 active devices) has been designed and fabricated in a two-tier, 130-nm 3D IC technology. Simulation results highlight the advantages of the proposed VATS architecture compared to conventional 2D test arrays.We also propose a radial filtering scheme to discriminate between process variations and the impact of TSV-induced stress in 3D ICs.
我们提出了一种新的测试阵列架构——垂直寻址测试结构(VATS)——来实验表征层内和层间工艺变化以及三维集成电路(ic)中的硅通孔(TSV)诱导应力。提出的VATS架构利用3D集成的优势,同时提供高密度、低I/O引脚利用率和高保真度。采用两层130纳米3D集成电路技术设计和制造了具有8个VATS阵列(>15,000个有源器件)的测试芯片。仿真结果表明,与传统的二维测试阵列相比,所提出的VATS结构具有优势。我们还提出了一种径向滤波方案,以区分工艺变化和三维集成电路中tsv诱导应力的影响。
{"title":"Vertically-addressed test structures (VATS) for 3D IC variability and stress measurements","authors":"C. O'Sullivan, P. Levine, S. Garg","doi":"10.1109/ISQED.2013.6523596","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523596","url":null,"abstract":"We propose a new test array architecture-vertically-addressed test structures (VATS)-to experimentally characterize the within-tier and tier-to-tier process variations and through-silicon via (TSV) induced stress in 3D integrated circuits (ICs). The proposed VATS architecture utilizes the benefits of 3D integration to simultaneously provide high density, low I/O pin utilization, and high fidelity. A test chip featuring eight VATS arrays (>15,000 active devices) has been designed and fabricated in a two-tier, 130-nm 3D IC technology. Simulation results highlight the advantages of the proposed VATS architecture compared to conventional 2D test arrays.We also propose a radial filtering scheme to discriminate between process variations and the impact of TSV-induced stress in 3D ICs.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"127187652","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Impacts of NBTI and PBTI effects on ternary CAM NBTI和PBTI效应对三元CAM的影响
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523588
Yen-Han Lee, Ing-Chao Lin, Sheng-Wei Wang
Ternary content addressable memory (TCAM), which can store 0, 1 and X in its cells, is widely used to store routing tables in network routers. Meanwhile, NBTI (Negative Bias Temperature Instability) and PBTI (Positive Biased Temperature Instability), which increase Vth and degrade transistor switching speed, have become major reliability challenges. In this paper, we propose a novel TCAM architecture to reduce BTI degradation using a bit-flipping technique. This novel TCAM architecture ensures the correctness of read, write and search operations. We also analyze the signal probabilities of TCAM cells, and demonstrate that the bit-flipping technique can balance signal probabilities. By using the bit-flipping technique, 76.40% of the data cells under investigation were found to have signal probabilities close to 50%, which is 62.80% higher than the original architecture. In addition, 92.60% of the mask cells had signal probabilities close to 50%, which is 91.20% higher than the original architecture. When considering the overhead of the bit-flipping technique, the best flipping frequency is once a day.
三元内容可寻址存储器(TCAM)在网络路由器中广泛用于存储路由表,它可以在单元中存储0、1和X。同时,NBTI(负偏置温度不稳定性)和PBTI(正偏置温度不稳定性)增加了v值,降低了晶体管的开关速度,成为主要的可靠性挑战。在本文中,我们提出了一种新的TCAM架构,利用比特翻转技术来减少BTI的退化。这种新颖的TCAM架构保证了读、写和搜索操作的正确性。我们还分析了TCAM单元的信号概率,并证明了比特翻转技术可以平衡信号概率。通过使用比特翻转技术,76.40%的被调查数据单元的信号概率接近50%,比原始架构提高了62.80%。此外,92.60%的掩模单元的信号概率接近50%,比原结构提高了91.20%。考虑到比特翻转技术的开销,最好的翻转频率是一天一次。
{"title":"Impacts of NBTI and PBTI effects on ternary CAM","authors":"Yen-Han Lee, Ing-Chao Lin, Sheng-Wei Wang","doi":"10.1109/ISQED.2013.6523588","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523588","url":null,"abstract":"Ternary content addressable memory (TCAM), which can store 0, 1 and X in its cells, is widely used to store routing tables in network routers. Meanwhile, NBTI (Negative Bias Temperature Instability) and PBTI (Positive Biased Temperature Instability), which increase Vth and degrade transistor switching speed, have become major reliability challenges. In this paper, we propose a novel TCAM architecture to reduce BTI degradation using a bit-flipping technique. This novel TCAM architecture ensures the correctness of read, write and search operations. We also analyze the signal probabilities of TCAM cells, and demonstrate that the bit-flipping technique can balance signal probabilities. By using the bit-flipping technique, 76.40% of the data cells under investigation were found to have signal probabilities close to 50%, which is 62.80% higher than the original architecture. In addition, 92.60% of the mask cells had signal probabilities close to 50%, which is 91.20% higher than the original architecture. When considering the overhead of the bit-flipping technique, the best flipping frequency is once a day.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"125758334","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning 三维热感知地板规划中矩形和双信号硅孔插入的可靠性考虑
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523628
Chih-han Hsu, S. Ruan, Ying-Jung Chen, Tsang-Chi Kan
Vertical integration of layers in a 3D IC exacerbates thermal problem especially for reliability degradation. Low reliability can not only damage the whole circuits but also cause unexpected performance loss. In this paper, we conduct the SA engine with rectangle-STSVs and double-STSVs for improving reliability. The earlier research indicates that the more STSVs a chip has, the better the reliability is. However, it also implies a larger area. Therefore, we develop a methodology to manipulate thermal-aware floorplan with the tradeoff among the number of STSVs, reliability, and area of a chip. Moreover, we manage our manipulated floorplan with precise thermal model for TTSVs insertion at via channel. Experimental results show that more than 80% of single-STSVs can be replaced by rectangle-STSVs or double-STSVs, thereby improving reliability. Furthermore, temperature can be maintained around 80°C with minimal TTSVs after inserting TTSVs.
三维集成电路中的垂直集成层加剧了热问题,特别是可靠性下降。低可靠性不仅会损坏整个电路,而且会造成意想不到的性能损失。为了提高可靠性,本文采用矩形stsvs和双stsvs对SA发动机进行了设计。早期的研究表明,芯片的STSVs越多,可靠性越好。然而,它也意味着更大的面积。因此,我们开发了一种方法来操纵热感知平面,并在STSVs数量,可靠性和芯片面积之间进行权衡。此外,我们用精确的热模型来管理我们的操纵平面,以使TTSVs在通过通道插入。实验结果表明,80%以上的单stv可以被矩形stv或双stv所取代,从而提高了可靠性。此外,在插入TTSVs后,温度可以保持在80°C左右,并且TTSVs最小。
{"title":"Reliability consideration with rectangle- and double-signal through silicon vias insertion in 3D thermal-aware floorplanning","authors":"Chih-han Hsu, S. Ruan, Ying-Jung Chen, Tsang-Chi Kan","doi":"10.1109/ISQED.2013.6523628","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523628","url":null,"abstract":"Vertical integration of layers in a 3D IC exacerbates thermal problem especially for reliability degradation. Low reliability can not only damage the whole circuits but also cause unexpected performance loss. In this paper, we conduct the SA engine with rectangle-STSVs and double-STSVs for improving reliability. The earlier research indicates that the more STSVs a chip has, the better the reliability is. However, it also implies a larger area. Therefore, we develop a methodology to manipulate thermal-aware floorplan with the tradeoff among the number of STSVs, reliability, and area of a chip. Moreover, we manage our manipulated floorplan with precise thermal model for TTSVs insertion at via channel. Experimental results show that more than 80% of single-STSVs can be replaced by rectangle-STSVs or double-STSVs, thereby improving reliability. Furthermore, temperature can be maintained around 80°C with minimal TTSVs after inserting TTSVs.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121993309","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Reducing IR drop in 3D integration to less than 1/4 using Buck Converter on Top die (BCT) scheme 采用Buck Converter on Top die (BCT)方案,将3D集成中的IR下降降低到1/4以下
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523612
Y. Shinozuka, H. Fuketa, K. Ishida, F. Furuta, K. Osada, K. Takeda, M. Takamiya, T. Sakurai
This paper proposes a method to reduce the supply voltage IR drop of 3D stacked-die systems by implementing an on-chip Buck Converter on Top die (BCT) scheme. The IR drop is caused by the parasitic resistance of Through Silicon Vias (TSV's) used in the 3D integration. The IR drop reduction and the overhead associated with the BCT scheme are modeled and analyzed. A 3D stacked-die system is manufactured using 90nm CMOS technology with TSV's and a silicon interposer. A chip inductor and chip capacitors for the buck converter are mounted directly on the top die. The reduction of the IR drop to less than 1/4 is verified through experiments.
本文提出了一种采用片上Buck转换器(BCT)的方法来降低三维堆叠芯片系统的电源电压IR降。红外下降是由三维集成中使用的硅通孔(TSV)的寄生电阻引起的。对BCT方案的红外降噪和开销进行了建模和分析。采用90nm CMOS技术与TSV和硅中间体制造了3D堆叠芯片系统。用于降压转换器的片式电感器和片式电容器直接安装在上模上。通过实验验证了将红外降降低到1/4以下。
{"title":"Reducing IR drop in 3D integration to less than 1/4 using Buck Converter on Top die (BCT) scheme","authors":"Y. Shinozuka, H. Fuketa, K. Ishida, F. Furuta, K. Osada, K. Takeda, M. Takamiya, T. Sakurai","doi":"10.1109/ISQED.2013.6523612","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523612","url":null,"abstract":"This paper proposes a method to reduce the supply voltage IR drop of 3D stacked-die systems by implementing an on-chip Buck Converter on Top die (BCT) scheme. The IR drop is caused by the parasitic resistance of Through Silicon Vias (TSV's) used in the 3D integration. The IR drop reduction and the overhead associated with the BCT scheme are modeled and analyzed. A 3D stacked-die system is manufactured using 90nm CMOS technology with TSV's and a silicon interposer. A chip inductor and chip capacitors for the buck converter are mounted directly on the top die. The reduction of the IR drop to less than 1/4 is verified through experiments.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130809819","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
An arbitrary stressed NBTI compact model for analog/mixed-signal reliability simulations 用于模拟/混合信号可靠性仿真的任意应力NBTI紧凑模型
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523587
J. Wan, H. Kerkhoff
A compact NBTI model is presented by directly solving the reaction-diffusion (RD) equations in a simple way. The new model can handle arbitrary stress conditions without solving time-consuming equations and is hence very suitable for analog/mixed-signal NBTI simulations in SPICE-like environments. The model has been implemented in Cadence ADE with Verilog-A and also takes the stochastic effect of aging into account. The simulation speed has increased at least thousands times. The performance of the model is validated by both RD theoretical solutions as well as silicon results.
通过直接求解反应扩散方程,给出了一个紧凑的NBTI模型。新模型可以处理任意应力条件,而无需求解耗时的方程,因此非常适合在类似spice的环境中进行模拟/混合信号NBTI仿真。该模型已在Cadence ADE和Verilog-A中实现,并考虑了老化的随机效应。模拟速度至少提高了数千倍。该模型的性能得到了RD理论解和硅实验结果的验证。
{"title":"An arbitrary stressed NBTI compact model for analog/mixed-signal reliability simulations","authors":"J. Wan, H. Kerkhoff","doi":"10.1109/ISQED.2013.6523587","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523587","url":null,"abstract":"A compact NBTI model is presented by directly solving the reaction-diffusion (RD) equations in a simple way. The new model can handle arbitrary stress conditions without solving time-consuming equations and is hence very suitable for analog/mixed-signal NBTI simulations in SPICE-like environments. The model has been implemented in Cadence ADE with Verilog-A and also takes the stochastic effect of aging into account. The simulation speed has increased at least thousands times. The performance of the model is validated by both RD theoretical solutions as well as silicon results.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128959245","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
Performance validation through implicit removal of infeasible paths of the behavioral description 通过隐式删除行为描述的不可行路径来验证性能
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523665
Dheepakkumaran Jayaraman, S. Tragoudas
In this paper we present a novel algorithm to identify infeasible paths in the behavioral code. The proposed approach initially partitions the behavioral code into segments. At each code segment it stores feasible paths implicitly. It also stores collections of input assignments which are derived using selected statements in the code segment. The method requires state-of-the-art data structures to store feasible paths and the required functions. Experimental results demonstrate the scalability of the proposed method.
在本文中,我们提出了一种新的算法来识别行为代码中的不可行路径。该方法首先将行为代码划分为几个部分。在每个代码段,它隐式地存储可行路径。它还存储输入赋值的集合,这些赋值是使用代码段中的选定语句派生的。该方法需要最先进的数据结构来存储可行的路径和所需的函数。实验结果证明了该方法的可扩展性。
{"title":"Performance validation through implicit removal of infeasible paths of the behavioral description","authors":"Dheepakkumaran Jayaraman, S. Tragoudas","doi":"10.1109/ISQED.2013.6523665","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523665","url":null,"abstract":"In this paper we present a novel algorithm to identify infeasible paths in the behavioral code. The proposed approach initially partitions the behavioral code into segments. At each code segment it stores feasible paths implicitly. It also stores collections of input assignments which are derived using selected statements in the code segment. The method requires state-of-the-art data structures to store feasible paths and the required functions. Experimental results demonstrate the scalability of the proposed method.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123512113","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Vision-inspired global routing for enhanced performance and reliability 视觉启发的全局路由,增强了性能和可靠性
Pub Date : 2013-03-04 DOI: 10.1109/ISQED.2013.6523616
J. Shin, N. Dutt, F. Kurdahi
As we enter the deep submicron era, transistors are increasingly added to chips, causing the chips to become hotter in a non-uniform manner. This is due to different processing tasks in different parts of the chips. This thermal gradient also causes a great number of problems such as the reduction in reliability of chips and interconnects due to electromigration, and system performance degradation because of increased delay and lowered clock frequencies. Since these thermal issues exist, interconnect routing, especially global routing, should be performed to consider the temperature distribution of substrates and the actual delay of interconnects. In this paper, we propose a global routing method based on image processing and computer vision techniques in which the probability of chip failure due to interconnect failure is reduced, and performance degradation from increased delay is also prevented. We observed that our method reduced the number of grids in hot regions by up to 50 % when compared with a conventional router, while maintaining the delay of interconnects as small as possible.
随着我们进入深亚微米时代,越来越多的晶体管被添加到芯片中,导致芯片以不均匀的方式变热。这是由于芯片不同部分的处理任务不同。这种热梯度也会导致大量的问题,例如由于电迁移导致芯片和互连可靠性降低,以及由于延迟增加和时钟频率降低而导致系统性能下降。由于这些热问题的存在,在进行互连布线,特别是全局布线时,应考虑衬底的温度分布和互连的实际延迟。在本文中,我们提出了一种基于图像处理和计算机视觉技术的全局路由方法,该方法降低了由于互连故障而导致芯片故障的概率,并且还防止了由于延迟增加而导致的性能下降。我们观察到,与传统路由器相比,我们的方法将热点地区的网格数量减少了50%,同时保持了尽可能小的互连延迟。
{"title":"Vision-inspired global routing for enhanced performance and reliability","authors":"J. Shin, N. Dutt, F. Kurdahi","doi":"10.1109/ISQED.2013.6523616","DOIUrl":"https://doi.org/10.1109/ISQED.2013.6523616","url":null,"abstract":"As we enter the deep submicron era, transistors are increasingly added to chips, causing the chips to become hotter in a non-uniform manner. This is due to different processing tasks in different parts of the chips. This thermal gradient also causes a great number of problems such as the reduction in reliability of chips and interconnects due to electromigration, and system performance degradation because of increased delay and lowered clock frequencies. Since these thermal issues exist, interconnect routing, especially global routing, should be performed to consider the temperature distribution of substrates and the actual delay of interconnects. In this paper, we propose a global routing method based on image processing and computer vision techniques in which the probability of chip failure due to interconnect failure is reduced, and performance degradation from increased delay is also prevented. We observed that our method reduced the number of grids in hot regions by up to 50 % when compared with a conventional router, while maintaining the delay of interconnects as small as possible.","PeriodicalId":127115,"journal":{"name":"International Symposium on Quality Electronic Design (ISQED)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2013-03-04","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121218089","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
期刊
International Symposium on Quality Electronic Design (ISQED)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1