首页 > 最新文献

2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)最新文献

英文 中文
Towards GaN500-based High Temperature ICs: Characterization and Modeling up to 600°C 迈向基于gan500的高温ic:高达600°C的表征和建模
Pub Date : 2020-06-01 DOI: 10.1109/NEWCAS49341.2020.9159796
Ahmad Hassan, Mostafa Amer, Y. Savaria, M. Sawan
This paper contributes toward the implementation of Gallium Nitride (GaN)-based integrated circuits (ICs) intended for high-temperature (HT) applications. We present the implementation and HT characterization of epitaxial AlGaN/GaN Heterojunction Field Effect Transistors (HFETs). The AlGaN/GaN HFETs are remarkably stable enabling operation above 600°C. The characterization results are used to extract an extended version of the Angelov model of GaN HFETs after considering the temperature as a variable parameter. A MATLAB program is used to fit the developed model with experimental I- V characteristics and a R-squared regression of 0.998 was attained over a wide temperature range extending from 25°C to 500°C. The extracted model is intended to be included in a design kit capturing the temperature effects and enabling accurate design simulations.
本文有助于实现氮化镓(GaN)为基础的集成电路(ic)用于高温(HT)应用。我们提出了外延AlGaN/GaN异质结场效应晶体管(hfet)的实现和高温特性。AlGaN/GaN hfet非常稳定,可以在600°C以上工作。将表征结果用于在考虑温度作为可变参数后提取GaN hfet的Angelov模型的扩展版本。利用MATLAB程序将所建立的模型与实验I- V特性拟合,在25 ~ 500℃的较宽温度范围内,r²回归达到0.998。提取的模型旨在包含在设计套件中,以捕获温度效应并实现精确的设计模拟。
{"title":"Towards GaN500-based High Temperature ICs: Characterization and Modeling up to 600°C","authors":"Ahmad Hassan, Mostafa Amer, Y. Savaria, M. Sawan","doi":"10.1109/NEWCAS49341.2020.9159796","DOIUrl":"https://doi.org/10.1109/NEWCAS49341.2020.9159796","url":null,"abstract":"This paper contributes toward the implementation of Gallium Nitride (GaN)-based integrated circuits (ICs) intended for high-temperature (HT) applications. We present the implementation and HT characterization of epitaxial AlGaN/GaN Heterojunction Field Effect Transistors (HFETs). The AlGaN/GaN HFETs are remarkably stable enabling operation above 600°C. The characterization results are used to extract an extended version of the Angelov model of GaN HFETs after considering the temperature as a variable parameter. A MATLAB program is used to fit the developed model with experimental I- V characteristics and a R-squared regression of 0.998 was attained over a wide temperature range extending from 25°C to 500°C. The extracted model is intended to be included in a design kit capturing the temperature effects and enabling accurate design simulations.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114473179","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
Synthetic Ultra-Wideband Integrated Pulse Generator for Millimeter-Wave Imaging Applications 用于毫米波成像的合成超宽带集成脉冲发生器
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159763
Amir Mirbeik-Sabzevari, L. Najafizadeh, Negar Tavassolian
This work presents three integrated pulse generators that can collectively provide a synthetic ultra-wide imaging bandwidth of 100 GHz in the millimeter-wave regime. Such a development is the first step towards the realization of a fully-integrated ultra-high-resolution imaging chip for biomedical applications. The pulse generators are designed in a Global Foundry 130-nm SiGe BiCMOS process technology and produce pulses with frequency ranges of 10-40-GHz, 40-75-GHz, and 75–110-GHz respectively. The three sub-band pulse generators possess a similar differential pulsed VCO configuration with the highest average power consumption of 40 mW.
这项工作提出了三个集成脉冲发生器,可以在毫米波范围内共同提供100 GHz的合成超宽成像带宽。这样的发展是实现用于生物医学应用的全集成超高分辨率成像芯片的第一步。脉冲发生器采用全球代工厂130纳米SiGe BiCMOS工艺技术设计,产生频率范围分别为10-40 ghz、40-75 ghz和75- 110 ghz的脉冲。三个子带脉冲发生器具有相似的差分脉冲压控振荡器配置,最高平均功耗为40 mW。
{"title":"Synthetic Ultra-Wideband Integrated Pulse Generator for Millimeter-Wave Imaging Applications","authors":"Amir Mirbeik-Sabzevari, L. Najafizadeh, Negar Tavassolian","doi":"10.1109/newcas49341.2020.9159763","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159763","url":null,"abstract":"This work presents three integrated pulse generators that can collectively provide a synthetic ultra-wide imaging bandwidth of 100 GHz in the millimeter-wave regime. Such a development is the first step towards the realization of a fully-integrated ultra-high-resolution imaging chip for biomedical applications. The pulse generators are designed in a Global Foundry 130-nm SiGe BiCMOS process technology and produce pulses with frequency ranges of 10-40-GHz, 40-75-GHz, and 75–110-GHz respectively. The three sub-band pulse generators possess a similar differential pulsed VCO configuration with the highest average power consumption of 40 mW.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123937691","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Design of a high-speed RF envelope detector with dynamic load and derivative superposition techniques 基于动态负载和导数叠加技术的高速射频包络检测器设计
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159825
Yang Ge, Ximing Fu, Yadong Yin, K. El-Sankary
This paper presents a new high speed, high conversion gain envelope detector (ED) using dynamic load (DL) and 2nd order nonlinearity maximization techniques. To enhance the conversion gain and speed of conventional ED architectures, the proposed ED uses a dynamic load (DL) technique with class-AB architecture to increase the speed and tune the output impedance dynamically. To improve nonlinearity of the ED, derivative superposition (DS) is used. By biasing the NMOS and PMOS transistors of the ED initially in different regions of operation, 2nd order derivative of transconductance (g2) is maximized which increases the 2nd order conversion gain. This configuration enables low power consumption without compromising the conversion gain. Simulation results of the proposed ED in 0.18μm CMOS technology show a high data rate of 14.5Mbps with power consumption of 1.21μW.
利用动态负载和二阶非线性最大化技术,提出了一种高速、高转换增益的包络检测器。为了提高传统ED结构的转换增益和速度,本文提出的ED采用ab类结构的动态负载(DL)技术来提高速度和动态调整输出阻抗。利用微分叠加(DS)来改善微分方程的非线性。通过在不同的工作区域初始偏置ED的NMOS和PMOS晶体管,使跨导二阶导数(g2)最大化,从而增加了二阶转换增益。这种配置可以在不影响转换增益的情况下实现低功耗。仿真结果表明,采用0.18μm CMOS工艺的ED数据速率高达14.5Mbps,功耗仅为1.21μW。
{"title":"Design of a high-speed RF envelope detector with dynamic load and derivative superposition techniques","authors":"Yang Ge, Ximing Fu, Yadong Yin, K. El-Sankary","doi":"10.1109/newcas49341.2020.9159825","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159825","url":null,"abstract":"This paper presents a new high speed, high conversion gain envelope detector (ED) using dynamic load (DL) and 2nd order nonlinearity maximization techniques. To enhance the conversion gain and speed of conventional ED architectures, the proposed ED uses a dynamic load (DL) technique with class-AB architecture to increase the speed and tune the output impedance dynamically. To improve nonlinearity of the ED, derivative superposition (DS) is used. By biasing the NMOS and PMOS transistors of the ED initially in different regions of operation, 2nd order derivative of transconductance (g2) is maximized which increases the 2nd order conversion gain. This configuration enables low power consumption without compromising the conversion gain. Simulation results of the proposed ED in 0.18μm CMOS technology show a high data rate of 14.5Mbps with power consumption of 1.21μW.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129136063","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Designing Stochastic Number Generators Sharing a Random Number Source based on the Randomization Function 基于随机化函数的共享随机数源的随机数生成器设计
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159787
Masashi Tawada, N. Togawa
In this study, we propose a novel stochastic number generator architecture and prove that the resulting circuit can deliver independent stochastic numbers and improve the accuracy of the calculation results obtained using some recent conventional stochastic computing-based arithmetic circuits. This study is motivated by the increasingly important role of stochastic computing in various fields, such as the digital circuit design, where the stochastic number generators are responsible for a significant share of the hardware cost.
在这项研究中,我们提出了一种新的随机数字生成器架构,并证明了所产生的电路可以提供独立的随机数字,并提高了使用最近一些传统的基于随机计算的算术电路获得的计算结果的准确性。这项研究的动机是随机计算在各个领域日益重要的作用,例如数字电路设计,其中随机数字生成器负责硬件成本的很大一部分。
{"title":"Designing Stochastic Number Generators Sharing a Random Number Source based on the Randomization Function","authors":"Masashi Tawada, N. Togawa","doi":"10.1109/newcas49341.2020.9159787","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159787","url":null,"abstract":"In this study, we propose a novel stochastic number generator architecture and prove that the resulting circuit can deliver independent stochastic numbers and improve the accuracy of the calculation results obtained using some recent conventional stochastic computing-based arithmetic circuits. This study is motivated by the increasingly important role of stochastic computing in various fields, such as the digital circuit design, where the stochastic number generators are responsible for a significant share of the hardware cost.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124676841","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Automated Model Generation Including Variations for Formal Verification of Nonlinear Analog Circuits 非线性模拟电路形式化验证的自动模型生成包括变化
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159822
Malgorzata Rechmal-Lesse, Gerald Alexander Koroa, Y. G. Adhisantoso, M. Olbrich
With the advancements in analog/mixed-signal (AMS) systems and continuously shrinking design sizes, there is an increased demand for reliable verification to ensure correct behavior. To overcome this obstacle, using formal verification is a promising option. We present a modeling system that automatically provides dependable set-valued models from circuit netlists in a form suitable for reachability analysis. Our method is based on local linearizations of the nonlinear circuit. Linearized locations are computed on-the-fly depending on which states are reachable to avoid the state-space explosion problem. The set-valued models include device parameter variations, modeling errors and uncertain input stimuli.
随着模拟/混合信号(AMS)系统的进步和设计尺寸的不断缩小,对可靠验证的需求不断增加,以确保正确的行为。为了克服这个障碍,使用形式化验证是一个很有前途的选择。我们提出了一个建模系统,它能以一种适合于可达性分析的形式,从电路网络表中自动提供可靠的集值模型。我们的方法是基于非线性电路的局部线性化。根据可到达的状态实时计算线性化位置,以避免状态空间爆炸问题。集值模型包括设备参数变化、建模误差和不确定的输入刺激。
{"title":"Automated Model Generation Including Variations for Formal Verification of Nonlinear Analog Circuits","authors":"Malgorzata Rechmal-Lesse, Gerald Alexander Koroa, Y. G. Adhisantoso, M. Olbrich","doi":"10.1109/newcas49341.2020.9159822","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159822","url":null,"abstract":"With the advancements in analog/mixed-signal (AMS) systems and continuously shrinking design sizes, there is an increased demand for reliable verification to ensure correct behavior. To overcome this obstacle, using formal verification is a promising option. We present a modeling system that automatically provides dependable set-valued models from circuit netlists in a form suitable for reachability analysis. Our method is based on local linearizations of the nonlinear circuit. Linearized locations are computed on-the-fly depending on which states are reachable to avoid the state-space explosion problem. The set-valued models include device parameter variations, modeling errors and uncertain input stimuli.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128096622","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Accelerating STT-MRAM Ramp-up Characterization 加速STT-MRAM爬坡特性
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159842
Govind Radhakrishnan, Y. Yoon, M. Sachdev
Systematic characterization is crucial for magnetic tunnel junction from initial stack development to the final mass production. It has a direct impact on the wafer turn-around time and time to market. Under these circumstances, device characterization of the magnetic tunnel junction (MTJ) stack configuration is a critical step in the product development cycle. This paper reviews the challenges and advancements in spin torque transfer (STT)-magnetoresistive random access memory (MRAM) characterization and testing over the past decade that has accelerated the fabrication process ramp-up. We also provide an overview of a design-for-testability (DFT) scheme that can be used for parametric sensitivity analysis and a built-in-self-test (BIST) scheme that utilizes the DFT for bit-cell health monitoring in STT-MRAMs. The proposed schemes open new avenues for testing and characterization.
从最初的堆叠开发到最终的批量生产,磁性隧道结的系统表征至关重要。这直接影响到晶圆的周转时间和上市时间。在这种情况下,磁性隧道结(MTJ)堆叠结构的器件表征是产品开发周期中的关键步骤。本文回顾了过去十年来在自旋转矩传递(STT)-磁阻随机存取存储器(MRAM)表征和测试方面所面临的挑战和取得的进展,这些挑战和进展加速了制造工艺的发展。我们还概述了可测试性设计(DFT)方案,该方案可用于参数灵敏度分析,以及内置自检(BIST)方案,该方案利用DFT进行stt - mram中的位单元健康监测。所提出的方案为测试和表征开辟了新的途径。
{"title":"Accelerating STT-MRAM Ramp-up Characterization","authors":"Govind Radhakrishnan, Y. Yoon, M. Sachdev","doi":"10.1109/newcas49341.2020.9159842","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159842","url":null,"abstract":"Systematic characterization is crucial for magnetic tunnel junction from initial stack development to the final mass production. It has a direct impact on the wafer turn-around time and time to market. Under these circumstances, device characterization of the magnetic tunnel junction (MTJ) stack configuration is a critical step in the product development cycle. This paper reviews the challenges and advancements in spin torque transfer (STT)-magnetoresistive random access memory (MRAM) characterization and testing over the past decade that has accelerated the fabrication process ramp-up. We also provide an overview of a design-for-testability (DFT) scheme that can be used for parametric sensitivity analysis and a built-in-self-test (BIST) scheme that utilizes the DFT for bit-cell health monitoring in STT-MRAMs. The proposed schemes open new avenues for testing and characterization.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134032133","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improving the Partial Product Tree Compression on Signed Radix-2m Parallel Multipliers 改进带符号基数-2m并行乘法器的部分积树压缩
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159776
L. G. Rocha, Morgana Macedo, Guilherme Paim, E. Costa, S. Bampi
Arithmetic operations are intrinsic to any embedded devices, and they usually have a significant impact on the circuit speed, area, and power consumption. Applications like video processing, digital signal processing, machine learning, among others, rely heavily on multipliers to execute their algorithms. Radix-2mmultipliers have been reported as one of the most power-efficient circuits. However, their architecture has not been explored nor optimized to improve the circuit quality. This work proposed two sign extension optimization techniques for these multipliers, aiming for better power efficiency and a smaller area. The baseline radix-4 $(m=2)$ multiplier and its optimized versions were synthesized in a commercial 65nm technology to evaluate their performance. Results show that the optimized versions achieve power efficiency gains from 16.4% up to 78.6%, with circuit area reduction up to 49.2%.
算术运算是任何嵌入式设备所固有的,它们通常对电路速度、面积和功耗有重大影响。视频处理、数字信号处理、机器学习等应用严重依赖乘法器来执行算法。据报道,基数-2乘法器是最节能的电路之一。然而,他们的架构尚未被探索或优化以提高电路质量。本文提出了两种乘法器的符号扩展优化技术,旨在提高功率效率和减小面积。基线基数-4 $(m=2)$乘法器及其优化版本在商用65nm技术下合成,以评估其性能。结果表明,优化后的版本功率效率提高了16.4%至78.6%,电路面积减少了49.2%。
{"title":"Improving the Partial Product Tree Compression on Signed Radix-2m Parallel Multipliers","authors":"L. G. Rocha, Morgana Macedo, Guilherme Paim, E. Costa, S. Bampi","doi":"10.1109/newcas49341.2020.9159776","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159776","url":null,"abstract":"Arithmetic operations are intrinsic to any embedded devices, and they usually have a significant impact on the circuit speed, area, and power consumption. Applications like video processing, digital signal processing, machine learning, among others, rely heavily on multipliers to execute their algorithms. Radix-2mmultipliers have been reported as one of the most power-efficient circuits. However, their architecture has not been explored nor optimized to improve the circuit quality. This work proposed two sign extension optimization techniques for these multipliers, aiming for better power efficiency and a smaller area. The baseline radix-4 $(m=2)$ multiplier and its optimized versions were synthesized in a commercial 65nm technology to evaluate their performance. Results show that the optimized versions achieve power efficiency gains from 16.4% up to 78.6%, with circuit area reduction up to 49.2%.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131999561","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Exploring Quantization in Few-Shot Learning 探讨量化在短时学习中的应用
Pub Date : 2020-06-01 DOI: 10.1109/NEWCAS49341.2020.9159767
Meiqi Wang, Ruixin Xue, Jun Lin, Zhongfeng Wang
Training the neural networks on chip, which enables the local privacy data to be stored and processed at edge platforms, is earning vital importance with the explosive growth of Internet of Things (IoT). Although the on-chip training has been widely investigated in previous arts, there are few works related to the on-chip learning of Few-Shot Learning (FSL), an emerging topic which explores effective learning with only a small number of samples. In this paper, we explore the effectiveness of quantization, a mainstream compression method that helps reduce the memory footprint and computational resource requirements of a full-precision neural network to enable the on-chip deployment of FSL. We first perform extensive experiments on quantization of three mainstream meta-learning-based FSL networks, MAML, Meta-SGD, and Reptile, for both training and testing stages. Experimental results show that the 16-bit quantized training and testing models can be achieved with negligible losses on MAML and Meta-SGD. Then a comprehensive analysis is presented which demonstrates that a most favorable trade-off between accuracy, computational complexity, and model size can be achieved using the Meta-SGD model. This paves the way for the deployment of FSL system on the resource-constrained platforms.
随着物联网(IoT)的爆炸式增长,在芯片上训练神经网络,使本地隐私数据能够在边缘平台上存储和处理,变得至关重要。尽管片上训练在以往的艺术中已经得到了广泛的研究,但很少有与片上学习(few - shot learning, FSL)相关的作品,FSL是一个新兴的主题,它探索了只有少量样本的有效学习。在本文中,我们探讨了量化的有效性,量化是一种主流压缩方法,有助于减少全精度神经网络的内存占用和计算资源需求,从而实现FSL的片上部署。我们首先在训练和测试阶段对三种主流的基于元学习的FSL网络MAML、Meta-SGD和Reptile进行了大量的量化实验。实验结果表明,在MAML和Meta-SGD上可以实现16位量化训练和测试模型,损失可以忽略不计。然后,综合分析表明,使用Meta-SGD模型可以在精度、计算复杂性和模型大小之间实现最有利的权衡。这为FSL系统在资源受限平台的部署铺平了道路。
{"title":"Exploring Quantization in Few-Shot Learning","authors":"Meiqi Wang, Ruixin Xue, Jun Lin, Zhongfeng Wang","doi":"10.1109/NEWCAS49341.2020.9159767","DOIUrl":"https://doi.org/10.1109/NEWCAS49341.2020.9159767","url":null,"abstract":"Training the neural networks on chip, which enables the local privacy data to be stored and processed at edge platforms, is earning vital importance with the explosive growth of Internet of Things (IoT). Although the on-chip training has been widely investigated in previous arts, there are few works related to the on-chip learning of Few-Shot Learning (FSL), an emerging topic which explores effective learning with only a small number of samples. In this paper, we explore the effectiveness of quantization, a mainstream compression method that helps reduce the memory footprint and computational resource requirements of a full-precision neural network to enable the on-chip deployment of FSL. We first perform extensive experiments on quantization of three mainstream meta-learning-based FSL networks, MAML, Meta-SGD, and Reptile, for both training and testing stages. Experimental results show that the 16-bit quantized training and testing models can be achieved with negligible losses on MAML and Meta-SGD. Then a comprehensive analysis is presented which demonstrates that a most favorable trade-off between accuracy, computational complexity, and model size can be achieved using the Meta-SGD model. This paves the way for the deployment of FSL system on the resource-constrained platforms.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124391697","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Design of an Energy-Efficient True Random Number Generator Based on Triple Read-Write Data-Stream Multiplexing of MTJ Devices 基于MTJ器件三重读写数据流复用的节能真随机数发生器设计
Pub Date : 2020-06-01 DOI: 10.1109/newcas49341.2020.9159805
A. Tamakoshi, N. Onizawa, Hitoshi Yamagata, Hiroyuki Fujita, T. Hanyu
In this paper, we introduce an energy-efficient true random number generator (TRNG) using triple read-write data-stream multiplexing combined CMOS-circuits with three-terminal magnetic tunnel junction (3T-MTJ) devices. When multiple 3T-MTJ devices are used and sequentially activated for high-speed random-number generation, the sufficient timing margin must be guaranteed for correct random-number bit stream from the 3T-MTJ devices. In the proposed TRNG, random-number bit streams are triplicated and three individual random-number bit streams are superposed among them on a single output line, which achieves high-speed and energy-efficient random number generation. We use 65 nm-CMOS/3T-MTJ design technologies, and confirm its correct operation verification by HSPICE simulation with a 3T-MTJ model. The quality of random numbers generated is verified by NIST test. As a result, the energy consumption per bit is 0.5 pJ / bit, which is about 1/10 of a conventional CMOS-based TRNG.
本文介绍了一种采用三端磁隧道结(3T-MTJ)器件的三重读写数据流复用组合cmos电路的节能真随机数发生器(TRNG)。当使用多个3T-MTJ设备并依次激活高速随机数生成时,必须保证从3T-MTJ设备发出的正确随机数比特流有足够的时间裕度。该算法将随机数比特流进行三次复制,并将三个独立的随机数比特流叠加在一条输出线上,从而实现了高速节能的随机数生成。我们采用65纳米cmos /3T-MTJ设计技术,并通过3T-MTJ模型的HSPICE仿真验证了其正确性。生成的随机数质量通过NIST测试验证。因此,每比特的能量消耗为0.5 pJ / bit,约为传统cmos TRNG的1/10。
{"title":"Design of an Energy-Efficient True Random Number Generator Based on Triple Read-Write Data-Stream Multiplexing of MTJ Devices","authors":"A. Tamakoshi, N. Onizawa, Hitoshi Yamagata, Hiroyuki Fujita, T. Hanyu","doi":"10.1109/newcas49341.2020.9159805","DOIUrl":"https://doi.org/10.1109/newcas49341.2020.9159805","url":null,"abstract":"In this paper, we introduce an energy-efficient true random number generator (TRNG) using triple read-write data-stream multiplexing combined CMOS-circuits with three-terminal magnetic tunnel junction (3T-MTJ) devices. When multiple 3T-MTJ devices are used and sequentially activated for high-speed random-number generation, the sufficient timing margin must be guaranteed for correct random-number bit stream from the 3T-MTJ devices. In the proposed TRNG, random-number bit streams are triplicated and three individual random-number bit streams are superposed among them on a single output line, which achieves high-speed and energy-efficient random number generation. We use 65 nm-CMOS/3T-MTJ design technologies, and confirm its correct operation verification by HSPICE simulation with a 3T-MTJ model. The quality of random numbers generated is verified by NIST test. As a result, the energy consumption per bit is 0.5 pJ / bit, which is about 1/10 of a conventional CMOS-based TRNG.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"121334024","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
A 6 GHz 130 nm CMOS Harmonic Recombination RF Receiver Front-End Using N-Path Filtering 一种采用n路滤波的6ghz 130nm CMOS谐波复合射频接收机前端
Pub Date : 2020-06-01 DOI: 10.1109/NEWCAS49341.2020.9159795
Nakisa Shams, A. Abbasi, F. Nabki
An RF receiver front-end using two feedforward N-path switching filters and harmonic-recombination configuration is presented. As the time-variant nature of the N-path filter introduces multiple frequency translations, third harmonic selection of the switching frequency rather than the fundamental helps to reduce the input frequency of the multiphase clock generator by a factor of three. The proposed 5.9-7.1 GHz RF receiver operates at the third harmonic of the local oscillator, thanks to the combination of an N-Path switching filter and a harmonic recombination architecture. The receiver is implemented in a 130 nm CMOS technology and operates from a 1.2 V supply. Post-layout simulation results show that, for a 6 GHz RF input, the receiver provides a harmonic rejection of 45 and 55 dB for the first and second harmonics, respectively. A noise figure of 5.5 dB at a 16 MHz baseband frequency is achieved, and an input matching of less than -15 dB is attained over the desired frequency band.
提出了一种采用两个前馈n路开关滤波器和谐波复合结构的射频接收机前端。由于n路滤波器的时变特性引入了多次频率平移,开关频率的三次谐波选择而不是基频选择有助于将多相时钟发生器的输入频率降低三倍。所提出的5.9-7.1 GHz射频接收器工作在本振的三次谐波,这要归功于n路开关滤波器和谐波重组架构的组合。该接收器采用130纳米CMOS技术,工作电压为1.2 V。布局后仿真结果表明,对于6 GHz射频输入,接收机的一次谐波抑制为45 dB,次谐波抑制为55 dB。在16 MHz基带频率下实现了5.5 dB的噪声系数,并且在期望的频带上实现了小于-15 dB的输入匹配。
{"title":"A 6 GHz 130 nm CMOS Harmonic Recombination RF Receiver Front-End Using N-Path Filtering","authors":"Nakisa Shams, A. Abbasi, F. Nabki","doi":"10.1109/NEWCAS49341.2020.9159795","DOIUrl":"https://doi.org/10.1109/NEWCAS49341.2020.9159795","url":null,"abstract":"An RF receiver front-end using two feedforward N-path switching filters and harmonic-recombination configuration is presented. As the time-variant nature of the N-path filter introduces multiple frequency translations, third harmonic selection of the switching frequency rather than the fundamental helps to reduce the input frequency of the multiphase clock generator by a factor of three. The proposed 5.9-7.1 GHz RF receiver operates at the third harmonic of the local oscillator, thanks to the combination of an N-Path switching filter and a harmonic recombination architecture. The receiver is implemented in a 130 nm CMOS technology and operates from a 1.2 V supply. Post-layout simulation results show that, for a 6 GHz RF input, the receiver provides a harmonic rejection of 45 and 55 dB for the first and second harmonics, respectively. A noise figure of 5.5 dB at a 16 MHz baseband frequency is achieved, and an input matching of less than -15 dB is attained over the desired frequency band.","PeriodicalId":135163,"journal":{"name":"2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2020-06-01","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123452791","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 4
期刊
2020 18th IEEE International New Circuits and Systems Conference (NEWCAS)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1