This paper describes the use of model-based MPC in mask manufacturing for the 14 nm technology node and beyond, analyzes the requirements and challenges for introducing MPC and highlights its benefits in the mask manufacturing process.
{"title":"The role of model-based MPC in advanced mask manufacturing","authors":"I. Bork, P. Buck","doi":"10.1117/12.2281894","DOIUrl":"https://doi.org/10.1117/12.2281894","url":null,"abstract":"This paper describes the use of model-based MPC in mask manufacturing for the 14 nm technology node and beyond, analyzes the requirements and challenges for introducing MPC and highlights its benefits in the mask manufacturing process.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"26 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133656318","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Multibeam electron beam systems will be used in the future for mask writing and for complimentary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements Amdahl’s Law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time we propose an alternate datapath architecture partly motivated by multibeam direct write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology’s multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.
{"title":"Parallel compression/decompression-based datapath architecture for multibeam mask writers","authors":"N. Chaudhary, S. Savari","doi":"10.1117/12.2279737","DOIUrl":"https://doi.org/10.1117/12.2279737","url":null,"abstract":"Multibeam electron beam systems will be used in the future for mask writing and for complimentary lithography. The major challenges of the multibeam systems are in meeting throughput requirements and in handling the large data volumes associated with writing grayscale data on the wafer. In terms of future communications and computational requirements Amdahl’s Law suggests that a simple increase of computation power and parallelism may not be a sustainable solution. We propose a parallel data compression algorithm to exploit the sparsity of mask data and a grayscale video-like representation of data. To improve the communication and computational efficiency of these systems at the write time we propose an alternate datapath architecture partly motivated by multibeam direct write lithography and partly motivated by the circuit testing literature, where parallel decompression reduces clock cycles. We explain a deflection plate architecture inspired by NuFlare Technology’s multibeam mask writing system and how our datapath architecture can be easily added to it to improve performance.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"3 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123974438","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Traditional CD-SEM metrology reaches its limits when measuring complex configurations (e.g. advanced node contact configurations). SEM extracted contours embody valuable information which is essential for building a robust etch prediction model [1, 2]. CDSEM recipe complexity, processing time and measurement robustness can be improved using contour based metrology. However, challenges for measurement pattern selection as well as final model verification arise. In this work, we present the full flow of implementing etch prediction models calibrated and verified with SEM contours into a manufacturing environment.
{"title":"Contour-based etch modeling enablement: from pattern selection to final verification","authors":"Jirka Schatz, F. Weisbuch, A. Lutich","doi":"10.1117/12.2279694","DOIUrl":"https://doi.org/10.1117/12.2279694","url":null,"abstract":"Traditional CD-SEM metrology reaches its limits when measuring complex configurations (e.g. advanced node contact configurations). SEM extracted contours embody valuable information which is essential for building a robust etch prediction model [1, 2]. CDSEM recipe complexity, processing time and measurement robustness can be improved using contour based metrology. However, challenges for measurement pattern selection as well as final model verification arise. In this work, we present the full flow of implementing etch prediction models calibrated and verified with SEM contours into a manufacturing environment.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"16 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115477134","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
The application of EUV lithography at the 7 nm node and below requires, among others, to reduce 3D mask effects1 like shadowing e.g. by introducing a thinner absorber structure. A search for new potential absorber materials with improved optical properties is done within the ECSEL JU project SeNaTe2,3,4,5. The standard Ta-based absorber system of the actual photomasks has been optically characterized in detail as the benchmark. The results are in agreement with the optical data presently used in the optical modeling of EUV photomasks. As candidates for an alternative absorber material, Ni, Co, NiAl are investigated. For the investigation of the alternative EUV mask absorber materials, metal layers of several 10 nm were deposited on silicon wafers. At PTB the spectral reflectance was measured in the angular range from normal incidence to grazing incidence in a wavelength band from 10 nm to 16 nm using PTB’s lubricationfree Ellipso-Scatterometer at the soft X-ray radiometry beamline. The measured reflectance is then fitted using Fresnel’s equations to a layer model accounting for thickness and roughness of the metal layer and additional top-oxide and a SiO2 layer on the Si-substrate surface. We present here an update on the optical constants of Ni, Co and NiAl layers.
{"title":"Update on optical material properties for alternative EUV mask absorber materials","authors":"F. Scholze, C. Laubis, Kim Vu Luong, V. Philipsen","doi":"10.1117/12.2279702","DOIUrl":"https://doi.org/10.1117/12.2279702","url":null,"abstract":"The application of EUV lithography at the 7 nm node and below requires, among others, to reduce 3D mask effects1 like shadowing e.g. by introducing a thinner absorber structure. A search for new potential absorber materials with improved optical properties is done within the ECSEL JU project SeNaTe2,3,4,5. The standard Ta-based absorber system of the actual photomasks has been optically characterized in detail as the benchmark. The results are in agreement with the optical data presently used in the optical modeling of EUV photomasks. As candidates for an alternative absorber material, Ni, Co, NiAl are investigated. For the investigation of the alternative EUV mask absorber materials, metal layers of several 10 nm were deposited on silicon wafers. At PTB the spectral reflectance was measured in the angular range from normal incidence to grazing incidence in a wavelength band from 10 nm to 16 nm using PTB’s lubricationfree Ellipso-Scatterometer at the soft X-ray radiometry beamline. The measured reflectance is then fitted using Fresnel’s equations to a layer model accounting for thickness and roughness of the metal layer and additional top-oxide and a SiO2 layer on the Si-substrate surface. We present here an update on the optical constants of Ni, Co and NiAl layers.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"1 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130407710","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
R. Kirchner, Roel Hoekstra, N. Chidambaram, H. Schift
We characterize the impact of high-energy, 172 nm vacuum ultraviolet photons on the molecular weight and the glass transition temperature of poly(methyl methacrylate). We found that the molecular weight is reduced strongly on the surface of the exposed samples with a continuous transition towards the unexposed bulk material being located below the modified region. The glass transition temperature was found to be significantly lowered in the exposed region to well below 50°C compared to that of the 122°C of the bulk region. We could use this material contrast to selectively reflow the top surface of the exposed samples only. This allowed us to create ultra-smooth micro-optical structures by post-processing without influencing the overall geometry that is required for the optical functionality.
{"title":"Depth-profiling of vertical material contrast after VUV exposure for contact-free polishing of 3D polymer micro-optics","authors":"R. Kirchner, Roel Hoekstra, N. Chidambaram, H. Schift","doi":"10.1117/12.2279712","DOIUrl":"https://doi.org/10.1117/12.2279712","url":null,"abstract":"We characterize the impact of high-energy, 172 nm vacuum ultraviolet photons on the molecular weight and the glass transition temperature of poly(methyl methacrylate). We found that the molecular weight is reduced strongly on the surface of the exposed samples with a continuous transition towards the unexposed bulk material being located below the modified region. The glass transition temperature was found to be significantly lowered in the exposed region to well below 50°C compared to that of the 122°C of the bulk region. We could use this material contrast to selectively reflow the top surface of the exposed samples only. This allowed us to create ultra-smooth micro-optical structures by post-processing without influencing the overall geometry that is required for the optical functionality.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"29 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133052268","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Currently, flat panel displays (FPDs) are one of the main parts for information technology devices and sets. From 1990's to 2000's, liquid crystal displays (LCDs) and plasma displays had been mainstream FPDs. In the middle of 2000's, demand of plasma displays declined and organic light emitting diodes (OLEDs) newly came into FPD market. And today, major technology of FPDs are LCDs and OLEDs. Especially for mobile devices, the penetration of OLEDs is remarkable. In FPDs panel production, photolithography is the key technology as same as LSI. Photomasks for FPDs are used not only as original master of circuit pattern, but also as a tool to form other functional structures of FPDs. Photomasks for FPDs are called as "Large Size Photomasks(LSPMs)", since the remarkable feature is " Size" which reaches over 1- meter square and over 100kg. In this report, we discuss three LSPMs technical topics with FPDs technical transition and trend. The first topics is upsizing of LSPMs, the second is the challenge for higher resolution patterning, and the last is “Multi-Tone Mask” for "Half -Tone Exposure".
{"title":"Technical trends of large-size photomasks for flat panel displays","authors":"Koichiro Yoshida","doi":"10.1117/12.2279601","DOIUrl":"https://doi.org/10.1117/12.2279601","url":null,"abstract":"Currently, flat panel displays (FPDs) are one of the main parts for information technology devices and sets. From 1990's to 2000's, liquid crystal displays (LCDs) and plasma displays had been mainstream FPDs. In the middle of 2000's, demand of plasma displays declined and organic light emitting diodes (OLEDs) newly came into FPD market. And today, major technology of FPDs are LCDs and OLEDs. Especially for mobile devices, the penetration of OLEDs is remarkable. In FPDs panel production, photolithography is the key technology as same as LSI. Photomasks for FPDs are used not only as original master of circuit pattern, but also as a tool to form other functional structures of FPDs. Photomasks for FPDs are called as \"Large Size Photomasks(LSPMs)\", since the remarkable feature is \" Size\" which reaches over 1- meter square and over 100kg. In this report, we discuss three LSPMs technical topics with FPDs technical transition and trend. The first topics is upsizing of LSPMs, the second is the challenge for higher resolution patterning, and the last is “Multi-Tone Mask” for \"Half -Tone Exposure\".","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"17 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128386226","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
B. Bilski, Ziyang Wang, F. Wittebrood, J. McNamara, D. Oorschot, M. A. van de Kerkhof, T. Fliervoet
With the introduction of the NXE:3400B EUV scanner, ASML brings to the market the next generation NXE system. In this paper we present the results of a subset of a larger investigation that aimed at assessing the imaging performance of the NXE:3400B in various scenarios. The use cases we chose for the presentation here are contact holes, which are typical building blocks for logic and memory applications. In this paper we evaluate typical lithographic metrics. Starting from the exposure latitude, we show that contact holes of already 17nm half-pitch can be printed. Next, we show that the full wafer CD uniformity improvement is mainly driven by a high reticle CD uniformity. After that, we explore the capabilities of the new NXE:3400B illuminator and investigate an improved illumination setting for relaxed staggered contact holes of half pitch >21nm, and show a 20% local CD uniformity improvement (from 4.6 to 3.6nm) for regular contact holes of 18nm half-pitch, without throughput loss.
{"title":"Improvements in the imaging performance of a high volume manufacturing EUV scanner with a special emphasis on the added value of the new illuminator for increased pupil flexibility","authors":"B. Bilski, Ziyang Wang, F. Wittebrood, J. McNamara, D. Oorschot, M. A. van de Kerkhof, T. Fliervoet","doi":"10.1117/12.2280379","DOIUrl":"https://doi.org/10.1117/12.2280379","url":null,"abstract":"With the introduction of the NXE:3400B EUV scanner, ASML brings to the market the next generation NXE system. In this paper we present the results of a subset of a larger investigation that aimed at assessing the imaging performance of the NXE:3400B in various scenarios. The use cases we chose for the presentation here are contact holes, which are typical building blocks for logic and memory applications. In this paper we evaluate typical lithographic metrics. Starting from the exposure latitude, we show that contact holes of already 17nm half-pitch can be printed. Next, we show that the full wafer CD uniformity improvement is mainly driven by a high reticle CD uniformity. After that, we explore the capabilities of the new NXE:3400B illuminator and investigate an improved illumination setting for relaxed staggered contact holes of half pitch >21nm, and show a 20% local CD uniformity improvement (from 4.6 to 3.6nm) for regular contact holes of 18nm half-pitch, without throughput loss.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"31 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128139605","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
In the last decades the semiconductor technology has been driven by Moore’s law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules require addition backside processing of the wafer; thus an accurate alignment between the front and backside of the wafer is mandatory. In previous work an advanced back to front side alignment technique and implementation into IHP’s 0.25/0.13 μm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8]. Therefore, the available overlay measurement techniques are not suitable if overlay and alignment marks are realized at the bonding interface of a wafer stack which consists of both a silicon device and a silicon carrier wafer. The former used EVG 40NT automated overlay measurement system, which use two opposite positioned microscopes inspecting simultaneous the wafer back and front side, is not capable measuring embedded overlay marks. In this work, the non-contact infrared alignment system of the Nikon i-line Stepper NSR-SF150 for both the alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the offsets between all different FIA’s into account, after correcting the wafer rotation induced FIA position errors, hence an overlay for the stacked wafers can be determined. The developed approach has been validated by a standard back to front side application. The overlay was measured and determined using both, the EVG NT40 automated measurement system with special overlay marks and the measurement of the FIA marks of the front and back side layer. A comparison of both results shows mismatches in x and y translations smaller than 200 nm, which is relatively smal
{"title":"New overlay measurement technique with an i-line stepper using embedded standard field image alignment marks for wafer bonding applications","authors":"P. Kulse, K. Sasai, K. Schulz, M. Wietstruck","doi":"10.1117/12.2279621","DOIUrl":"https://doi.org/10.1117/12.2279621","url":null,"abstract":"In the last decades the semiconductor technology has been driven by Moore’s law leading to high performance CMOS technologies with feature sizes of less than 10 nm [1]. It has been pointed out that not only scaling but also the integration of novel components and technology modules into CMOS/BiCMOS technologies is becoming more attractive to realize smart and miniaturized systems [2]. Driven by new applications in the area of communication, health and automation, new components and technology modules such as BiCMOS embedded RF-MEMS, high-Q passives, Sibased microfluidics and InP-SiGe BiCMOS heterointegration have been demonstrated [3-6]. In contrast to standard VLSI processes fabricated on front side of the silicon wafer, these new technology modules require addition backside processing of the wafer; thus an accurate alignment between the front and backside of the wafer is mandatory. In previous work an advanced back to front side alignment technique and implementation into IHP’s 0.25/0.13 μm high performance SiGe:C BiCMOS backside process module has been presented [7]. The developed technique enables a high resolution and accurate lithography on the backside of BiCMOS wafer for additional backside processing. In addition to the aforementioned back side process technologies, new applications like Through-Silicon Vias (TSV) for interposers and advanced substrate technologies for 3D heterogeneous integration demand not only single wafer fabrication but also processing of wafer stacks provided by temporary and permanent wafer bonding [8]. Therefore, the available overlay measurement techniques are not suitable if overlay and alignment marks are realized at the bonding interface of a wafer stack which consists of both a silicon device and a silicon carrier wafer. The former used EVG 40NT automated overlay measurement system, which use two opposite positioned microscopes inspecting simultaneous the wafer back and front side, is not capable measuring embedded overlay marks. In this work, the non-contact infrared alignment system of the Nikon i-line Stepper NSR-SF150 for both the alignment and the overlay determination of bonded wafer stacks with embedded alignment marks are used to achieve an accurate alignment between the different wafer sides. The embedded field image alignment (FIA) marks of the interface and the device wafer top layer are measured in a single measurement job. By taking the offsets between all different FIA’s into account, after correcting the wafer rotation induced FIA position errors, hence an overlay for the stacked wafers can be determined. The developed approach has been validated by a standard back to front side application. The overlay was measured and determined using both, the EVG NT40 automated measurement system with special overlay marks and the measurement of the FIA marks of the front and back side layer. A comparison of both results shows mismatches in x and y translations smaller than 200 nm, which is relatively smal","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"7 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126928273","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Hiroshi Matsumoto, H. Yamashita, T. Tamura, K. Ohtoshi
Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. The motivation to go to multi-beam is high throughput at aggressive shot count. MBM-1000 performs better than EBM-9500, which is our latest VSB writer, at shot count of 500 G/pass or more because of exposure count and beam current independent to figure count. Key technology for high throughput is cathode and high-voltage power supply which provides large beam emission current, inline data path and blanking aperture array (BAA) with 300 Gbps data ratio. In this paper, design of data path and BAA for MBM-1000 are described.
{"title":"Multi-beam mask writer MBM-1000","authors":"Hiroshi Matsumoto, H. Yamashita, T. Tamura, K. Ohtoshi","doi":"10.1117/12.2280453","DOIUrl":"https://doi.org/10.1117/12.2280453","url":null,"abstract":"Multi-beam mask writer MBM-1000 will be released in Q4 2017 for N5 semiconductor production. The motivation to go to multi-beam is high throughput at aggressive shot count. MBM-1000 performs better than EBM-9500, which is our latest VSB writer, at shot count of 500 G/pass or more because of exposure count and beam current independent to figure count. Key technology for high throughput is cathode and high-voltage power supply which provides large beam emission current, inline data path and blanking aperture array (BAA) with 300 Gbps data ratio. In this paper, design of data path and BAA for MBM-1000 are described.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"189 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115992942","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
Line Edge Roughness is largely used in the current semiconductor research and industry for the evaluation of materials and processes since it is considered one of the critical factors to degrade device performance. Therefore, its accurate measurement and complete characterization though complicated is highly required. LER measurement is usually based on the analysis of top-down SEM images. As a result, it suffers from the limitations of image-based metrology which among others are the presence of noise and the digital nature of images. Recently, several studies have paid attention on the impact of image noise on LER metrics and the aliasing effects on the Power Spectrum Density curves caused by the discreteness of edge data along line/edge direction. However, image digitization imposes discretization of edge data also in the vertical to edge/line direction. In this paper, we focus on the effects of this aspect of edge data discretization on LER rms value and PSD curve. We explain and analyze the effect using synthesized SEM images and identify the critical role of the ratio of rms to pixel size. We find that for such ratios larger than 0.6, the image digitization has a fixed contribution to the measured rms roughness and PSD which should be removed to mitigate these effects.
{"title":"Line edge roughness measurement through SEM images: effects of image digitization and their mitigation","authors":"G. Papavieros, V. Constantoudis","doi":"10.1117/12.2294060","DOIUrl":"https://doi.org/10.1117/12.2294060","url":null,"abstract":"Line Edge Roughness is largely used in the current semiconductor research and industry for the evaluation of materials and processes since it is considered one of the critical factors to degrade device performance. Therefore, its accurate measurement and complete characterization though complicated is highly required. LER measurement is usually based on the analysis of top-down SEM images. As a result, it suffers from the limitations of image-based metrology which among others are the presence of noise and the digital nature of images. Recently, several studies have paid attention on the impact of image noise on LER metrics and the aliasing effects on the Power Spectrum Density curves caused by the discreteness of edge data along line/edge direction. However, image digitization imposes discretization of edge data also in the vertical to edge/line direction. In this paper, we focus on the effects of this aspect of edge data discretization on LER rms value and PSD curve. We explain and analyze the effect using synthesized SEM images and identify the critical role of the ratio of rms to pixel size. We find that for such ratios larger than 0.6, the image digitization has a fixed contribution to the measured rms roughness and PSD which should be removed to mitigate these effects.","PeriodicalId":287066,"journal":{"name":"European Mask and Lithography Conference","volume":"656 1","pages":"0"},"PeriodicalIF":0.0,"publicationDate":"2017-09-28","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116485627","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}