首页 > 最新文献

2009 International Symposium on VLSI Technology, Systems, and Applications最新文献

英文 中文
Metal-oxide-semiconductor devices with UHV-Ga2O3(Gd2O3) on Ge(100) 在Ge(100)上具有UHV-Ga2O3(Gd2O3)的金属氧化物半导体器件
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159328
L. Chu, T. Lin, C. H. Lee, L. T. Tung, W. Lee, R. Chu, C. C. Chang, M. Hong, J. Kwo
Ultra-high vacuum (UHV)-deposited high Ga2O3(Gd2O3) was proved to passivate Ge effectively, as evidenced by comprehensive investigations including structural, chemical, and electrical analyses. The Ga2O3(Gd2O3)/Ge interface is revealed to be abrupt even being subjected to a 500°C anneal, a high κ value of 14.5, a low leakage current density of ∼10−9A/cm2 with a Fowler-Nordheim tunneling behavior, and well-behaved C-V characteristics are achieved. Furthermore, Ge self-aligned pMOSFETs with Al2O3/ Ga2O3(Gd2O3) as the gate dielectrics have demonstrated a high drain current and a peak transconductance up to 252mA/mm and 143mS/mm, respectively, of 1µm-gate length.
超高真空(UHV)沉积的高Ga2O3(Gd2O3)被证明可以有效地钝化锗,这一点得到了包括结构、化学和电分析在内的综合研究的证明。结果表明,在500℃退火条件下,Ga2O3(Gd2O3)/Ge界面呈现突变态,其κ值高达14.5,漏电流密度低至~ 10−9A/cm2,具有Fowler-Nordheim隧穿行为,且具有良好的C- v特性。此外,以Al2O3/ Ga2O3(Gd2O3)作为栅极介质的Ge自定向pmosfet显示出高漏极电流和峰值跨导分别高达252mA/mm和143mS/mm,栅极长度为1 μ m。
{"title":"Metal-oxide-semiconductor devices with UHV-Ga2O3(Gd2O3) on Ge(100)","authors":"L. Chu, T. Lin, C. H. Lee, L. T. Tung, W. Lee, R. Chu, C. C. Chang, M. Hong, J. Kwo","doi":"10.1109/VTSA.2009.5159328","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159328","url":null,"abstract":"Ultra-high vacuum (UHV)-deposited high Ga<inf>2</inf>O<inf>3</inf>(Gd<inf>2</inf>O<inf>3</inf>) was proved to passivate Ge effectively, as evidenced by comprehensive investigations including structural, chemical, and electrical analyses. The Ga<inf>2</inf>O<inf>3</inf>(Gd<inf>2</inf>O<inf>3</inf>)/Ge interface is revealed to be abrupt even being subjected to a 500°C anneal, a high κ value of 14.5, a low leakage current density of ∼10<inf>−9</inf>A/cm<sup>2</sup> with a Fowler-Nordheim tunneling behavior, and well-behaved C-V characteristics are achieved. Furthermore, Ge self-aligned pMOSFETs with Al<inf>2</inf>O<inf>3</inf>/ Ga<inf>2</inf>O<inf>3</inf>(Gd<inf>2</inf>O<inf>3</inf>) as the gate dielectrics have demonstrated a high drain current and a peak transconductance up to 252mA/mm and 143mS/mm, respectively, of 1µm-gate length.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122461144","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Tri-gated poly-Si nanowire SONOS devices 三门控多晶硅纳米线SONOS器件
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159333
H. Hsu, T. Liu, Chuan-Ding Lin, Chiu Kuo-Jung, Tiao-Yuan Huang, Horng-Chih Lin
Si nanowire (NW) SONOS devices have recently been demonstrated as a good candidate for high-density non-volatile memory application [1][2]. Owing to the high surface-to-volume ratio of the NW channel, the programming and erasing (P/E) operation of the device could be performed at a lower voltage and much faster speed over the planar counterpart [2]. However, the fabrication of NW devices typically requires advanced lithographic tools and/or complicated process flow. These are not compatible with the manufacturing of flat-panel products where the device feature size is generally several microns or larger. In this work, we propose a simple and cost-effective approach to integrate planar poly-Si thin-film transistors (TFTs) and tri-gated poly-Si NW SONOS devices without resorting to advanced lithographic tools. Greatly enhanced P/E speed with the use of NW structure is clearly demonstrated.
硅纳米线(NW) SONOS器件最近被证明是高密度非易失性存储器应用的良好候选器件[1][2]。由于NW通道的高表面体积比,该器件的编程和擦除(P/E)操作可以在比平面对应器件更低的电压和更快的速度下进行[2]。然而,NW器件的制造通常需要先进的光刻工具和/或复杂的工艺流程。这些与平板产品的制造不兼容,因为平板产品的设备特征尺寸通常是几微米或更大。在这项工作中,我们提出了一种简单而经济的方法来集成平面多晶硅薄膜晶体管(tft)和三门控多晶硅NW SONOS器件,而无需借助先进的光刻工具。使用NW结构大大提高了市盈率。
{"title":"Tri-gated poly-Si nanowire SONOS devices","authors":"H. Hsu, T. Liu, Chuan-Ding Lin, Chiu Kuo-Jung, Tiao-Yuan Huang, Horng-Chih Lin","doi":"10.1109/VTSA.2009.5159333","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159333","url":null,"abstract":"Si nanowire (NW) SONOS devices have recently been demonstrated as a good candidate for high-density non-volatile memory application [1][2]. Owing to the high surface-to-volume ratio of the NW channel, the programming and erasing (P/E) operation of the device could be performed at a lower voltage and much faster speed over the planar counterpart [2]. However, the fabrication of NW devices typically requires advanced lithographic tools and/or complicated process flow. These are not compatible with the manufacturing of flat-panel products where the device feature size is generally several microns or larger. In this work, we propose a simple and cost-effective approach to integrate planar poly-Si thin-film transistors (TFTs) and tri-gated poly-Si NW SONOS devices without resorting to advanced lithographic tools. Greatly enhanced P/E speed with the use of NW structure is clearly demonstrated.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123318380","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
A new technique to extract the gate bias dependent s/d series resistance of sub-100nm MOSFETs 一种提取亚100nm mosfet栅极偏置相关s/d串联电阻的新技术
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159314
D. Fleury, A. Cros, G. Bidal, H. Brut, E. Josse, G. Ghibaudo
In this study, a new technique to extract the S/D series resistance (Rsd) from the total resistance versus transconductance gain plot Rtot(1/β) is proposed. The technique only requires the measurement of Id(Vgs)|Vgt and β, allowing fast and statistical analysis in an industrial context. Unlike the usual Rtot(L)-based techniques, it has the advantage of being insensitive to the channel length and mobility variations and finally enables to extract very accurate values for Rsd(Vgs) and the effective mobility reduction factor µeff(Vgt)/µeff(0).
本文提出了一种从总电阻与跨导增益图Rtot(1/β)中提取S/D串联电阻(Rsd)的新方法。该技术只需要测量Id(Vgs), |Vgt和β,允许在工业环境中进行快速和统计分析。与通常基于Rtot(L)的技术不同,它具有对通道长度和迁移率变化不敏感的优点,最终能够提取非常准确的Rsd(Vgs)和有效迁移率降低因子μ eff(Vgt)/ μ eff(0)的值。
{"title":"A new technique to extract the gate bias dependent s/d series resistance of sub-100nm MOSFETs","authors":"D. Fleury, A. Cros, G. Bidal, H. Brut, E. Josse, G. Ghibaudo","doi":"10.1109/VTSA.2009.5159314","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159314","url":null,"abstract":"In this study, a new technique to extract the S/D series resistance (R<inf>sd</inf>) from the total resistance versus transconductance gain plot R<inf>tot</inf>(1/β) is proposed. The technique only requires the measurement of I<inf>d</inf>(V<inf>gs</inf>)|<inf>Vgt</inf> and β, allowing fast and statistical analysis in an industrial context. Unlike the usual R<inf>tot</inf>(L)-based techniques, it has the advantage of being insensitive to the channel length and mobility variations and finally enables to extract very accurate values for R<inf>sd</inf>(V<inf>gs</inf>) and the effective mobility reduction factor µ<inf>eff</inf>(V<inf>gt</inf>)/µ<inf>eff</inf>(0).","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115894009","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 12
Nanoelectromechanical systems for ultra-low-power computing and VLSI 超低功耗计算和超大规模集成电路的纳米机电系统
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159286
P. Feng
Nanoscale devices with mechanical degrees of freedom offer compelling characteristics that make them very attractive for mechanical and quantum logic devices. As we are able to create nanoelectromechanical systems (NEMS) with unprecedented feature sizes, advanced complexity and functionality, and high yield and control (at wafer-scale), they become increasingly interesting for low-power logic and memory, as well as become more meaningful for VLSI. Partly this is driven by NEMS devices' unique merits such as exceptionally large on/off ratio, non-leakage, ultralow switching power, fast speed, and temperature insensitivity. In parallel, this is also an intriguing effort in the quest for the ultimately energy-efficient implementation of logic and computing. In this talk, I shall introduce the Caltech research effort towards these goals, including the recent demonstrations of several generic prototypes of nanoscale electromechanical switching devices, their characteristics and performance, progress on engineering such building blocks for NEMS-based logic and memory, all-mechanical and hybrid NEMS-CMOS, along with discussions and perspectives of technological promises and challenges.
具有机械自由度的纳米级器件提供了令人信服的特性,使其对机械和量子逻辑器件非常有吸引力。由于我们能够创建具有前所未有的特征尺寸,先进的复杂性和功能,以及高产量和控制(在晶圆级)的纳米机电系统(NEMS),它们对于低功耗逻辑和存储器变得越来越有趣,并且对VLSI变得更有意义。这在一定程度上是由于NEMS器件的独特优点,如超大的开/关比,无泄漏,超低开关功率,快速和温度不敏感。与此同时,这也是一项有趣的努力,旨在寻求逻辑和计算的最终节能实现。在这次演讲中,我将介绍加州理工学院为实现这些目标所做的研究工作,包括最近几种纳米级机电开关器件的通用原型的演示,它们的特性和性能,基于nems的逻辑和存储器,全机械和混合NEMS-CMOS的工程构建块的进展,以及技术承诺和挑战的讨论和观点。
{"title":"Nanoelectromechanical systems for ultra-low-power computing and VLSI","authors":"P. Feng","doi":"10.1109/VTSA.2009.5159286","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159286","url":null,"abstract":"Nanoscale devices with mechanical degrees of freedom offer compelling characteristics that make them very attractive for mechanical and quantum logic devices. As we are able to create nanoelectromechanical systems (NEMS) with unprecedented feature sizes, advanced complexity and functionality, and high yield and control (at wafer-scale), they become increasingly interesting for low-power logic and memory, as well as become more meaningful for VLSI. Partly this is driven by NEMS devices' unique merits such as exceptionally large on/off ratio, non-leakage, ultralow switching power, fast speed, and temperature insensitivity. In parallel, this is also an intriguing effort in the quest for the ultimately energy-efficient implementation of logic and computing. In this talk, I shall introduce the Caltech research effort towards these goals, including the recent demonstrations of several generic prototypes of nanoscale electromechanical switching devices, their characteristics and performance, progress on engineering such building blocks for NEMS-based logic and memory, all-mechanical and hybrid NEMS-CMOS, along with discussions and perspectives of technological promises and challenges.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132395370","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reliability study of MANOS with and without a SiO2 buffer layer and BE-MANOS charge-trapping NAND flash devices 有和没有SiO2缓冲层的MANOS和BE-MANOS电荷捕获NAND闪存器件的可靠性研究
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159335
C.W. Liao, S. Lai, H. Lue, Ming-Jui Yang, C. Shen, Y. Lue, Yu-Fong Huang, J. Hsieh, Szu-Yu Wang, G. Luo, C. Chien, K. Hsieh, Rich Liu, Chih-Yuan Lu
The reliability of MANOS devices with an oxide buffer layer (MAONOS) in between SiN trapping layer and high-K Al2O3 top dielectric is extensively studied. We conclude that the primary function of high-K Al2O3 is to suppress the gate electron injection during erase instead of increasing the P/E speed. As a result, inserting a buffer oxide only changes EOT but does not change the P/E mechanisms. On the other hand, the buffer oxide can greatly improve data retention by suppressing leakage through Al2O3. However, owing to the slow erase performances with a thick bottom oxide, both MANOS and MAONOS erase slowly and very high erase voltages must be used. Also, both MANOS and MAONOS devices show very fast endurance degradation below P/E≪10, which is inherent due to electron de-trapping mechanism. Moreover, the large erase voltage also causes severe degradation of tunnel oxide after many P/E cycling. To get both speed and reliability performances, it is necessary to introduce bandgap engineered tunneling barrier (BE-MANOS) to solve the fundamental problems of MANOS.
本文广泛研究了在SiN捕获层和高k Al2O3顶部电介质之间添加氧化物缓冲层(MAONOS)的MANOS器件的可靠性。我们得出结论,高k Al2O3的主要作用是抑制擦除过程中的栅极电子注入,而不是提高P/E速度。因此,插入缓冲氧化物只会改变EOT,而不会改变P/E机制。另一方面,缓冲氧化物可以通过Al2O3抑制泄漏,从而大大提高数据保留率。然而,由于厚底氧化物的擦除速度慢,MANOS和MAONOS擦除速度都很慢,必须使用非常高的擦除电压。此外,MANOS和MAONOS设备的耐用性在P/E≤10时也会迅速下降,这是由于电子脱陷机制造成的。此外,在多次P/E循环后,较大的擦除电压也会导致隧道氧化物的严重降解。为了兼顾速度和可靠性,有必要引入带隙工程隧道势垒(BE-MANOS)来解决带隙工程隧道势垒的基本问题。
{"title":"Reliability study of MANOS with and without a SiO2 buffer layer and BE-MANOS charge-trapping NAND flash devices","authors":"C.W. Liao, S. Lai, H. Lue, Ming-Jui Yang, C. Shen, Y. Lue, Yu-Fong Huang, J. Hsieh, Szu-Yu Wang, G. Luo, C. Chien, K. Hsieh, Rich Liu, Chih-Yuan Lu","doi":"10.1109/VTSA.2009.5159335","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159335","url":null,"abstract":"The reliability of MANOS devices with an oxide buffer layer (MAONOS) in between SiN trapping layer and high-K Al2O3 top dielectric is extensively studied. We conclude that the primary function of high-K Al2O3 is to suppress the gate electron injection during erase instead of increasing the P/E speed. As a result, inserting a buffer oxide only changes EOT but does not change the P/E mechanisms. On the other hand, the buffer oxide can greatly improve data retention by suppressing leakage through Al2O3. However, owing to the slow erase performances with a thick bottom oxide, both MANOS and MAONOS erase slowly and very high erase voltages must be used. Also, both MANOS and MAONOS devices show very fast endurance degradation below P/E≪10, which is inherent due to electron de-trapping mechanism. Moreover, the large erase voltage also causes severe degradation of tunnel oxide after many P/E cycling. To get both speed and reliability performances, it is necessary to introduce bandgap engineered tunneling barrier (BE-MANOS) to solve the fundamental problems of MANOS.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134296015","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
Impacts of NBTI on SRAM array with power gating structure NBTI对功率门控结构SRAM阵列的影响
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159298
Hao-I Yang, C. Chuang, W. Hwang
We have analyzed impacts of NBTI on power-gated SRAM arrays in terms of RSNM, WM, power, performance, and wake-up time. We also studied PMOS-type pre-charge circuit degradation, and compared two basic sensing amplifier structures when they were under NBTI stress. Our results indicated that VT drift of power switch degraded RSNM but improved WM in power-gated SRAM. Signal probability of unselected cells also impacted SRAM RSNM and WM. The leakage currents and virtual supply bounce were reduced, but wake-up time became longer. Longer precharge phase and judicious choice of sense amplifier structure would improve the tolerance to NBTI effects.
我们从RSNM、WM、功率、性能和唤醒时间等方面分析了NBTI对功率门控SRAM阵列的影响。我们还研究了pmos型预充电电路的退化,并比较了两种基本的传感放大器结构在NBTI应力下的性能。结果表明,功率开关的VT漂移降低了功率门控SRAM的RSNM,但提高了WM。未选择细胞的信号概率也影响SRAM RSNM和WM。泄漏电流和虚拟电源反弹减小,但唤醒时间变长。延长预充相位和合理选择感测放大器结构可以提高对NBTI效应的容忍度。
{"title":"Impacts of NBTI on SRAM array with power gating structure","authors":"Hao-I Yang, C. Chuang, W. Hwang","doi":"10.1109/VTSA.2009.5159298","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159298","url":null,"abstract":"We have analyzed impacts of NBTI on power-gated SRAM arrays in terms of RSNM, WM, power, performance, and wake-up time. We also studied PMOS-type pre-charge circuit degradation, and compared two basic sensing amplifier structures when they were under NBTI stress. Our results indicated that VT drift of power switch degraded RSNM but improved WM in power-gated SRAM. Signal probability of unselected cells also impacted SRAM RSNM and WM. The leakage currents and virtual supply bounce were reduced, but wake-up time became longer. Longer precharge phase and judicious choice of sense amplifier structure would improve the tolerance to NBTI effects.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133087270","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
A novel Multi - Nitridation ONO interpoly dielectric (MN-ONO) for highly reliable and high performance NAND Flash memory 一种用于高可靠性和高性能NAND闪存的新型多氮化ONO插补电介质(MN-ONO)
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159280
C. H. Liu, Y. M. Lin, Y. Sakamoto, R. Yang, D. Yin, P. Chiang, H. Wei, C. Ho, S. H. Chen, H. Hwang, C. Hung, S. Pittikoun, S. Aritome
Multi-Nitridation ONO has been demonstrated for the first time. Significant improvement are obtained in NAND Flash performance and reliability. (1) 1V program voltage reduction owing to 10A EOT (equivalant oxide thickness ) reduction (2) More than 20% tighter cell Vt distribution width can be achieved from ONO bird's beak free due to supressing encroachment of gate re-oxidation by Floating Gate (FG) / top oxide nitridation. And also, (3) good data retention can be realized by applying plasma oxidation on bottom oxide to suppress the trap assisted charge loss. MN-ONO is a promising technology for high density NAND Flash beyond 40nm generation.
首次证实了多氮化ONO。NAND闪存的性能和可靠性得到了显著提高。(1)由于10A EOT(等效氧化物厚度)的降低,程序电压降低了1V。(2)由于浮栅(FG) /顶部氧化物氮化抑制栅极再氧化的侵蚀,从ONO鸟喙处可以实现栅极Vt分布宽度收紧20%以上。(3)通过对底层氧化物施加等离子体氧化来抑制陷阱辅助电荷损失,可以实现良好的数据保留。MN-ONO是一种很有前途的40nm以上高密度NAND闪存技术。
{"title":"A novel Multi - Nitridation ONO interpoly dielectric (MN-ONO) for highly reliable and high performance NAND Flash memory","authors":"C. H. Liu, Y. M. Lin, Y. Sakamoto, R. Yang, D. Yin, P. Chiang, H. Wei, C. Ho, S. H. Chen, H. Hwang, C. Hung, S. Pittikoun, S. Aritome","doi":"10.1109/VTSA.2009.5159280","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159280","url":null,"abstract":"Multi-Nitridation ONO has been demonstrated for the first time. Significant improvement are obtained in NAND Flash performance and reliability. (1) 1V program voltage reduction owing to 10A EOT (equivalant oxide thickness ) reduction (2) More than 20% tighter cell Vt distribution width can be achieved from ONO bird's beak free due to supressing encroachment of gate re-oxidation by Floating Gate (FG) / top oxide nitridation. And also, (3) good data retention can be realized by applying plasma oxidation on bottom oxide to suppress the trap assisted charge loss. MN-ONO is a promising technology for high density NAND Flash beyond 40nm generation.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"116781416","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Sub-100nm high-K metal gate GeOI pMOSFETs performance: Impact of the Ge channel orientation and of the source injection velocity 亚100nm高k金属栅极GeOI pmosfet性能:Ge通道取向和源注入速度的影响
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159331
C. Le Royer, A. Pouydebasque, K. Romanjek, V. Barral, M. Vinet, J. Hartmann, E. Augendre, H. Grampeix, L. Lachal, C. Tabone, B. Previtali, R. Truche, F. Allain
We report here experimental investigations on GeOI pMOSFET: Besides the +65% mobility enhancement in narrow channel GeOI pMOSFETs as compared to wide channels, attributed to improved sidewall transport properties, 〈100〉 channel orientation transport is investigated for the first time in Ge (001): unlike Si, no current gain is observed compared to 〈110〉 channel orientation. Finally, ballisticity rates (BR) and source injection velocities (vinj) were extracted, demonstrating 22% higher vinj in Ge than in Si.
我们在这里报告了对GeOI pMOSFET的实验研究:除了窄通道GeOI pMOSFET中与宽通道相比+65%的迁移率增强外,由于改善了侧壁输运特性,< 100 >通道的取向输运首次在Ge(001)中进行了研究:与Si不同,与< 110 >通道取向相比,没有观察到电流增益。最后,提取弹道率(BR)和源注入速度(vinj),表明Ge的vinj比Si高22%。
{"title":"Sub-100nm high-K metal gate GeOI pMOSFETs performance: Impact of the Ge channel orientation and of the source injection velocity","authors":"C. Le Royer, A. Pouydebasque, K. Romanjek, V. Barral, M. Vinet, J. Hartmann, E. Augendre, H. Grampeix, L. Lachal, C. Tabone, B. Previtali, R. Truche, F. Allain","doi":"10.1109/VTSA.2009.5159331","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159331","url":null,"abstract":"We report here experimental investigations on GeOI pMOSFET: Besides the +65% mobility enhancement in narrow channel GeOI pMOSFETs as compared to wide channels, attributed to improved sidewall transport properties, 〈100〉 channel orientation transport is investigated for the first time in Ge (001): unlike Si, no current gain is observed compared to 〈110〉 channel orientation. Finally, ballisticity rates (BR) and source injection velocities (vinj) were extracted, demonstrating 22% higher vinj in Ge than in Si.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"114904360","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 11
p-FinFETs with Al segregated NiSi/p+-Si source/drain contact junction for series resistance reduction 具有Al分离NiSi/p+-Si源极/漏极接触结的p- finfet,用于串联电阻降低
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159297
M. Sinha, Rinus Lee, S. N. Devi, G. Lo, E. Chor, Y. Yeo
This paper demonstrates the integration of Al segregated NiSi/p+-Si S/D contact junction in p-FinFETs for parasitic series resistance reduction. Al is introduced by ion implant into p+ S/D region followed by nickel deposition and silicidation. Drive current enhancement of ∼15 % is achieved without any degradation of short channel effects. This is attributed to the lowering of ΦBp of NiSi on p-Si from 0.4 eV to 0.12 eV with low Al dose of 2×1014 atoms-cm−2, leading to lowering of contact resistance at NiSi/p+-Si S/D junction.
本文演示了Al分离NiSi/p+-Si S/D接触结在p- finet中的集成,用于寄生串联电阻降低。通过离子注入在p+ S/D区引入Al,然后沉积镍和硅化。驱动电流增强约15%,而不会降低短通道效应。这是由于在2×1014原子-cm−2的低Al剂量下,NiSi在p-Si上的ΦBp从0.4 eV降低到0.12 eV,导致NiSi/p+-Si S/D结处的接触电阻降低。
{"title":"p-FinFETs with Al segregated NiSi/p+-Si source/drain contact junction for series resistance reduction","authors":"M. Sinha, Rinus Lee, S. N. Devi, G. Lo, E. Chor, Y. Yeo","doi":"10.1109/VTSA.2009.5159297","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159297","url":null,"abstract":"This paper demonstrates the integration of Al segregated NiSi/p<sup>+</sup>-Si S/D contact junction in p-FinFETs for parasitic series resistance reduction. Al is introduced by ion implant into p<sup>+</sup> S/D region followed by nickel deposition and silicidation. Drive current enhancement of ∼15 % is achieved without any degradation of short channel effects. This is attributed to the lowering of Φ<inf>B</inf><sup>p</sup> of NiSi on p-Si from 0.4 eV to 0.12 eV with low Al dose of 2×10<sup>14</sup> atoms-cm<sup>−2</sup>, leading to lowering of contact resistance at NiSi/p<sup>+</sup>-Si S/D junction.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123782358","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Inversion-channel GaN MOSFET using atomic-layer-deposited Al2O3 as gate dielectric 用原子层沉积Al2O3作为栅极电介质的反转沟道GaN MOSFET
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159325
Y. Chang, W. Chang, H. Chiu, Y. H. Chang, L. T. Tung, C. H. Lee, M. Hong, J. Kwo, J. Hong, C. Tsai
For the first time, inversion-channel GaN MOSFETs using atomic-layer-deposited (ALD) Al2O3 as a gate dielectric have been successfully fabricated, showing well-behaved drain I–V and transfer characteristics. The drain current was scaled with gate length, showing a maximum drain current of 10 mA/mm in a device of 1 µm gate length, at a gate voltage (Vgs) of 8 V and a drain voltage (Vds) of 10V. High Ion/Ioff ratio of 2.5×105 was achieved with a very low off-state leakage of 4×10−13A/µm. In addition, depletion-mode (D-mode) GaN MOSFETs have also been demonstrated, showing a very low on-resistance of 2.5 mΩ⋅cm2, a high mobility of 350 cm2/Vs, and a high maximum drain current of 300 mA/mm in a device of 4 µm gate length.
利用原子层沉积(ALD) Al2O3作为栅极介质,首次成功制备了具有良好漏极I-V和转移特性的反沟道GaN mosfet。漏极电流与栅极长度成比例,在栅极长度为1 μ m的器件中,在栅极电压(Vgs)为8 V和漏极电压(Vds)为10V时,最大漏极电流为10 mA/mm。在极低的断开状态泄漏4×10−13A/µm的情况下,实现了2.5×105的高离子/断开比。此外,耗尽模式(d模式)GaN mosfet也得到了证明,在4 μ m栅极长度的器件中,导通电阻极低,为2.5 mΩ⋅cm2,高迁移率为350 cm2/Vs,最大漏极电流为300 mA/mm。
{"title":"Inversion-channel GaN MOSFET using atomic-layer-deposited Al2O3 as gate dielectric","authors":"Y. Chang, W. Chang, H. Chiu, Y. H. Chang, L. T. Tung, C. H. Lee, M. Hong, J. Kwo, J. Hong, C. Tsai","doi":"10.1109/VTSA.2009.5159325","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159325","url":null,"abstract":"For the first time, inversion-channel GaN MOSFETs using atomic-layer-deposited (ALD) Al<inf>2</inf>O<inf>3</inf> as a gate dielectric have been successfully fabricated, showing well-behaved drain I–V and transfer characteristics. The drain current was scaled with gate length, showing a maximum drain current of 10 mA/mm in a device of 1 µm gate length, at a gate voltage (V<inf>gs</inf>) of 8 V and a drain voltage (V<inf>ds</inf>) of 10V. High I<inf>on</inf>/I<inf>off</inf> ratio of 2.5×10<sup>5</sup> was achieved with a very low off-state leakage of 4×10<sup>−13</sup>A/µm. In addition, depletion-mode (D-mode) GaN MOSFETs have also been demonstrated, showing a very low on-resistance of 2.5 mΩ⋅cm<sup>2</sup>, a high mobility of 350 cm<sup>2</sup>/Vs, and a high maximum drain current of 300 mA/mm in a device of 4 µm gate length.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132734754","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 7
期刊
2009 International Symposium on VLSI Technology, Systems, and Applications
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1