首页 > 最新文献

2009 International Symposium on VLSI Technology, Systems, and Applications最新文献

英文 中文
Sub-32nm CMOS technology enhancement for low power applications 针对低功耗应用的32nm以下CMOS技术增强
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159303
R. Huang, P. W. Liu, E. C. Liu, W. Chiang, S. Tsai, J. Tsai, T. Shen, C. Tsai, C. Tsai, G. H. Ma
In this paper, we have systematically investigated the factors for performance enhancement on sub-32nm CMOS technology. We report that PMOS gains the drive current by slim spacer, S/D silicide resistance reduction by e-SiGe, and compressive CESL. The three factors improve the PMOS performance by 7%, 10% and 25% respectively. Combined with the three factors can gain the device drive current 30%. In addition, the optimized integration scheme can reduce NMOS extension resistance. The main cause is that post e-SiGe clean processes would loss the extension dopant and increases the extension resistance. We successfully reduce the NMOS total resistance 22% compared to control without compromise PMOS device performance.
在本文中,我们系统地研究了sub-32nm CMOS技术性能提升的因素。我们报道了PMOS通过超薄间隔获得驱动电流,通过e-SiGe降低S/D硅化电阻,以及压缩CESL。这三个因素分别使PMOS的性能提高了7%、10%和25%。结合这三个因素可以获得器件驱动电流的30%。此外,优化后的集成方案可以降低NMOS的扩展阻力。其主要原因是e-SiGe后的清洁过程会使延伸掺杂剂丢失,从而增加延伸阻力。在不影响PMOS器件性能的情况下,我们成功地将NMOS总电阻降低了22%。
{"title":"Sub-32nm CMOS technology enhancement for low power applications","authors":"R. Huang, P. W. Liu, E. C. Liu, W. Chiang, S. Tsai, J. Tsai, T. Shen, C. Tsai, C. Tsai, G. H. Ma","doi":"10.1109/VTSA.2009.5159303","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159303","url":null,"abstract":"In this paper, we have systematically investigated the factors for performance enhancement on sub-32nm CMOS technology. We report that PMOS gains the drive current by slim spacer, S/D silicide resistance reduction by e-SiGe, and compressive CESL. The three factors improve the PMOS performance by 7%, 10% and 25% respectively. Combined with the three factors can gain the device drive current 30%. In addition, the optimized integration scheme can reduce NMOS extension resistance. The main cause is that post e-SiGe clean processes would loss the extension dopant and increases the extension resistance. We successfully reduce the NMOS total resistance 22% compared to control without compromise PMOS device performance.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115071149","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Impact of lithography variations on advanced CMOS devices 光刻技术变化对先进CMOS器件的影响
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159272
J. Lorenz, C. Kampen, A. Burenkov, T. Fuhner
Source and relevance of process variations are briefly discussed. A combination of own lithography and commercial TCAD simulation software is applied to assess the impact of some of the most relevant variations occurring in lithography on the electrical properties of three kinds of CMOS devices with 32 nm physical gate length.
简要讨论了过程变化的来源和相关性。结合自己的光刻工艺和商用TCAD仿真软件,评估了光刻工艺中一些最相关的变化对三种物理栅长为32 nm的CMOS器件电学性能的影响。
{"title":"Impact of lithography variations on advanced CMOS devices","authors":"J. Lorenz, C. Kampen, A. Burenkov, T. Fuhner","doi":"10.1109/VTSA.2009.5159272","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159272","url":null,"abstract":"Source and relevance of process variations are briefly discussed. A combination of own lithography and commercial TCAD simulation software is applied to assess the impact of some of the most relevant variations occurring in lithography on the electrical properties of three kinds of CMOS devices with 32 nm physical gate length.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"129730753","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Modeling and scaling evaluation of junction-free charge-trapping NAND flash devices 无结电荷捕获NAND闪存器件的建模和缩放评估
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159311
Y. Hsiao, H. Lue, K. Hsieh, Rich Liu, Chih-Yuan Lu
The “junction-free” charge-trapping NAND Flash [1,2] is studied extensively. Simulation results show that the junction-free NAND Flash is scalable beyond 15 nm node (half pitch) with reasonable DC characteristics, while the conventional “with-junction” NAND device shows much worse short-channel effect. Simulation results show that lower p-well doping and smaller space (S) between the WL's are two key factors to enable the higher performance of junction-free NAND device. For the first time, we point out that the parameters of the region under the space (S) such as interface traps (Dit), parasitic trapped charge, and local p-well doping have strong impact on cell characteristics. Experimental results on junction-free BE-SONOS device showed some discrepancy with the simulation that may be due to non-ideal factors under the space. Finally, the feasibility of junction-free device on SOI for the future 3D NAND Flash is also examined.
“无结”电荷捕获NAND闪存[1,2]被广泛研究。仿真结果表明,无结NAND闪存可扩展到15 nm节点(半节距)以上,具有合理的直流特性,而传统的“有结”NAND器件的短通道效应要差得多。仿真结果表明,较低的p阱掺杂和较小的w阱间距(S)是提高无结NAND器件性能的关键因素。本文首次指出了界面陷阱(Dit)、寄生捕获电荷和局部p阱掺杂等区域参数对电池特性的影响。在无连接be - sonos装置上的实验结果与仿真结果存在一定差异,这可能是由于空间下的非理想因素造成的。最后,对未来3D NAND闪存在SOI上实现无结点器件的可行性进行了探讨。
{"title":"Modeling and scaling evaluation of junction-free charge-trapping NAND flash devices","authors":"Y. Hsiao, H. Lue, K. Hsieh, Rich Liu, Chih-Yuan Lu","doi":"10.1109/VTSA.2009.5159311","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159311","url":null,"abstract":"The “junction-free” charge-trapping NAND Flash [1,2] is studied extensively. Simulation results show that the junction-free NAND Flash is scalable beyond 15 nm node (half pitch) with reasonable DC characteristics, while the conventional “with-junction” NAND device shows much worse short-channel effect. Simulation results show that lower p-well doping and smaller space (S) between the WL's are two key factors to enable the higher performance of junction-free NAND device. For the first time, we point out that the parameters of the region under the space (S) such as interface traps (Dit), parasitic trapped charge, and local p-well doping have strong impact on cell characteristics. Experimental results on junction-free BE-SONOS device showed some discrepancy with the simulation that may be due to non-ideal factors under the space. Finally, the feasibility of junction-free device on SOI for the future 3D NAND Flash is also examined.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"128382468","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Properties of very thin adenine layer with high inhibition for 32nm node Cu/Low-K interconnection 高抑制32nm节点Cu/Low-K互连的极薄腺嘌呤层性质
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159269
M. Hara, H. Aoki, T. Masuzumi, D. Watanabe, C. Kimura, T. Sugino
An effective inhibition with very thin layer is required for Cu/Low-K interconnection of next generation devices. We have achieved an effective suppression of Cu oxidation using adenine as an environmentally friendly material. By using electrochemical measurements, we find that the adenine layer can inhibit Cu oxidation by forming the very thin layer compared with Benzotriazol (BTA) as a conventional Cu inhibitor.
下一代器件的Cu/Low-K互连需要极薄层的有效抑制。我们利用腺嘌呤作为一种环保材料,实现了对铜氧化的有效抑制。通过电化学测量,我们发现与传统的Cu抑制剂苯并三唑(BTA)相比,腺嘌呤层可以通过形成非常薄的层来抑制Cu氧化。
{"title":"Properties of very thin adenine layer with high inhibition for 32nm node Cu/Low-K interconnection","authors":"M. Hara, H. Aoki, T. Masuzumi, D. Watanabe, C. Kimura, T. Sugino","doi":"10.1109/VTSA.2009.5159269","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159269","url":null,"abstract":"An effective inhibition with very thin layer is required for Cu/Low-K interconnection of next generation devices. We have achieved an effective suppression of Cu oxidation using adenine as an environmentally friendly material. By using electrochemical measurements, we find that the adenine layer can inhibit Cu oxidation by forming the very thin layer compared with Benzotriazol (BTA) as a conventional Cu inhibitor.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126277895","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Realizing steep subthreshold swing with Impact Ionization Transistors 用冲击电离晶体管实现陡峭亚阈值摆幅
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159284
Y. Yeo
Recent developments in Impact Ionization Transistors (I-MOS) will be discussed here, including strained impact ionization transistors realized on the nanowire or multiple-gate device architecture. I-MOS devices achieve excellent subthreshold swings well below 5 mV/decade at room temperature. Techniques for enhancing impact ionization rate and reducing the breakdown voltage VBD for device performance improvement will be discussed. Challenges faced by I-MOS will be highlighted. Some challenges may be addressed through the strain and materials engineering. Limitations of the I-MOS will also be discussed.
本文将讨论冲击电离晶体管(I-MOS)的最新发展,包括在纳米线或多栅极器件结构上实现的应变冲击电离晶体管。I-MOS器件在室温下实现了极好的亚阈值振荡,远低于5 mV/ 10年。讨论了提高冲击电离率和降低击穿电压以提高器件性能的技术。将重点介绍I-MOS面临的挑战。一些挑战可以通过应变和材料工程来解决。本文还将讨论I-MOS的局限性。
{"title":"Realizing steep subthreshold swing with Impact Ionization Transistors","authors":"Y. Yeo","doi":"10.1109/VTSA.2009.5159284","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159284","url":null,"abstract":"Recent developments in Impact Ionization Transistors (I-MOS) will be discussed here, including strained impact ionization transistors realized on the nanowire or multiple-gate device architecture. I-MOS devices achieve excellent subthreshold swings well below 5 mV/decade at room temperature. Techniques for enhancing impact ionization rate and reducing the breakdown voltage VBD for device performance improvement will be discussed. Challenges faced by I-MOS will be highlighted. Some challenges may be addressed through the strain and materials engineering. Limitations of the I-MOS will also be discussed.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133645929","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
FinFET resistance mitigation through design and process optimization 通过设计和工艺优化降低FinFET电阻
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159323
Cindy Wang, Josephine B. Chang, Chung-Hsun Lin, Arvind Kumar, A. Gehring, Jin Cho, A. Majumdar, A. Bryant, Z. Ren, Kevin K. H. Chan, T. Kanarsky, Xinlin Wang, O. Dokumaci, M. Guillorn, M. Khater, Qingyun Yang, Xi Li, M. Naeem, J. Holt, Y. Moon, J. King, J. Yates, Ying Zhang, Dae-gyu Park, C. Ouyang, W. Haensch
The intrinsic FinFET device structure can provide an estimated 10–20% reduction in delay relative to planar FETs at the 22nm technology node due to superior electrostatics. However, FinFETs are more prone to parasitic resistance and capacitance due to the thin body channel and 3-dimensional device architecture. Here we present strategies for minimizing FinFET parasitic resistance, and discuss overall device design optimization. Using FinFETs built at 45nm node dimensions, we have demonstrated FinFETs with an NFET/PFET external resistance of 230/350 Ω-um.
由于优越的静电性能,在22nm技术节点上,与平面fet相比,本质FinFET器件结构可以提供大约10-20%的延迟减少。然而,由于薄体通道和三维器件结构,finfet更容易产生寄生电阻和电容。在这里,我们提出了最小化FinFET寄生电阻的策略,并讨论了整体器件设计优化。使用45纳米节点尺寸的finfet,我们展示了具有230/350外部电阻Ω-um的finfet / fet。
{"title":"FinFET resistance mitigation through design and process optimization","authors":"Cindy Wang, Josephine B. Chang, Chung-Hsun Lin, Arvind Kumar, A. Gehring, Jin Cho, A. Majumdar, A. Bryant, Z. Ren, Kevin K. H. Chan, T. Kanarsky, Xinlin Wang, O. Dokumaci, M. Guillorn, M. Khater, Qingyun Yang, Xi Li, M. Naeem, J. Holt, Y. Moon, J. King, J. Yates, Ying Zhang, Dae-gyu Park, C. Ouyang, W. Haensch","doi":"10.1109/VTSA.2009.5159323","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159323","url":null,"abstract":"The intrinsic FinFET device structure can provide an estimated 10–20% reduction in delay relative to planar FETs at the 22nm technology node due to superior electrostatics. However, FinFETs are more prone to parasitic resistance and capacitance due to the thin body channel and 3-dimensional device architecture. Here we present strategies for minimizing FinFET parasitic resistance, and discuss overall device design optimization. Using FinFETs built at 45nm node dimensions, we have demonstrated FinFETs with an NFET/PFET external resistance of 230/350 Ω-um.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115388662","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 9
Inversion-type surface channel In0.53]Ga{in0.47As metal-oxide-semiconductor field-effect transistors with metal-gate/high-k dielectric stack and CMOS-compatible PdGe contacts 具有金属栅极/高k介电堆和cmos兼容PdGe触点的反转型表面沟道In0.53]Ga{in0.47As金属氧化物半导体场效应晶体管
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159330
H. Chin, Xinke Liu, L. Tan, Y. Yeo
We report the first demonstration of a surface channel inversiontype In0.53Ga0.47As n-MOSFET featuring gold-free palladium-germanium (PdGe) ohmic contacts and self-aligned S/D formed by silicon and phosphorus co-implantation. A gate stack comprising TaN/HfAlO/In0.53Ga0.47As is also featured. Excellent transistor output characteristics with high drain current on/off ratio of 104, high peak electron mobility of 1420 cm2/Vs and peak transconductance of 142 mS/mm at gate length of 2 µm were demonstrated. In addition, the integration of low resistance PdGe ohmic contacts on In0.53Ga0.47As alleviates contamination concerns associated with the common use of gold-based contacts on In0.53Ga0.47As.
我们首次展示了一种表面通道反转型In0.53Ga0.47As n-MOSFET,具有无金钯锗(PdGe)欧姆接触和由硅和磷共注入形成的自对准S/D。一个栅极堆栈包括TaN/HfAlO/In0.53Ga0.47As也具有特色。在栅极长度为2µm时,晶体管具有优异的输出特性,漏极通断比为104,峰值电子迁移率为1420 cm2/Vs,峰值跨导为142 mS/mm。此外,在In0.53Ga0.47As上集成了低电阻PdGe欧姆触点,减轻了In0.53Ga0.47As上常见的金基触点所带来的污染问题。
{"title":"Inversion-type surface channel In0.53]Ga{in0.47As metal-oxide-semiconductor field-effect transistors with metal-gate/high-k dielectric stack and CMOS-compatible PdGe contacts","authors":"H. Chin, Xinke Liu, L. Tan, Y. Yeo","doi":"10.1109/VTSA.2009.5159330","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159330","url":null,"abstract":"We report the first demonstration of a surface channel inversiontype In<inf>0.53</inf>Ga<inf>0.47</inf>As n-MOSFET featuring gold-free palladium-germanium (PdGe) ohmic contacts and self-aligned S/D formed by silicon and phosphorus co-implantation. A gate stack comprising TaN/HfAlO/In<inf>0.53</inf>Ga<inf>0.47</inf>As is also featured. Excellent transistor output characteristics with high drain current on/off ratio of 10<sup>4</sup>, high peak electron mobility of 1420 cm<sup>2</sup>/Vs and peak transconductance of 142 mS/mm at gate length of 2 µm were demonstrated. In addition, the integration of low resistance PdGe ohmic contacts on In<inf>0.53</inf>Ga<inf>0.47</inf>As alleviates contamination concerns associated with the common use of gold-based contacts on In<inf>0.53</inf>Ga<inf>0.47</inf>As.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"115146984","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Reliability assessment of low |Vt| metal high-κ gate stacks for high performance applications 用于高性能应用的低Vt金属高κ栅极堆叠可靠性评估
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159293
C. Young, G. Bersuker, P. Khanal, C. Kang, J. Huang, C. Park, P. Kirsch, H. Tseng, R. Jammy
SILC analysis is a powerful tool for the assessment of breakdown characteristics of high-κ devices. By applying the SILC analysis during high field stress, we determined that the degradation mechanism for LaOx capped devices was drastically different as compared to the conventional Hf-based gate stacks. The La atoms diffused into the interfacial layer disrupting the SiO2 structure which may affect the reliability of the La-doped stacks. On the other hand, similar analysis applied to the stacks with the Ru-Al bi-layer gate electrode demonstrated that the Al-contained stacks were similar to that of the baseline samples indicating that Al atoms, which preferentially substitute for Si in SiO2, did not generate defects contributing to SILC.
SILC分析是评估高κ器件击穿特性的有力工具。通过应用高场应力下的SILC分析,我们确定了LaOx封盖器件的退化机制与传统的hf基栅堆相比有很大不同。La原子扩散到界面层,破坏了SiO2结构,影响了La掺杂堆的可靠性。另一方面,对Ru-Al双层栅电极叠层的类似分析表明,含有Al的叠层与基线样品相似,这表明Al原子优先替代SiO2中的Si原子,不会产生导致SILC的缺陷。
{"title":"Reliability assessment of low |Vt| metal high-κ gate stacks for high performance applications","authors":"C. Young, G. Bersuker, P. Khanal, C. Kang, J. Huang, C. Park, P. Kirsch, H. Tseng, R. Jammy","doi":"10.1109/VTSA.2009.5159293","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159293","url":null,"abstract":"SILC analysis is a powerful tool for the assessment of breakdown characteristics of high-κ devices. By applying the SILC analysis during high field stress, we determined that the degradation mechanism for LaOx capped devices was drastically different as compared to the conventional Hf-based gate stacks. The La atoms diffused into the interfacial layer disrupting the SiO2 structure which may affect the reliability of the La-doped stacks. On the other hand, similar analysis applied to the stacks with the Ru-Al bi-layer gate electrode demonstrated that the Al-contained stacks were similar to that of the baseline samples indicating that Al atoms, which preferentially substitute for Si in SiO2, did not generate defects contributing to SILC.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123877243","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
Status and challenges of extreme-UV lithography 极紫外光刻技术的现状与挑战
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159309
K. Ronse, E. Hendrickx, M. Goethals, R. Jonckheere, G. Vandenberghe
In this paper, the experiences on full field EUVL lithography are reviewed. Besides the imaging performance of the EUV ADT at IMEC, also the progress in resists and reticles are discussed and compared to the production requirements for EUV lithography.
本文综述了全视场EUVL光刻技术的研究进展。本文除了讨论了EUV ADT在IMEC的成像性能外,还讨论了其在抗蚀剂和光栅方面的进展,并与EUV光刻的生产要求进行了比较。
{"title":"Status and challenges of extreme-UV lithography","authors":"K. Ronse, E. Hendrickx, M. Goethals, R. Jonckheere, G. Vandenberghe","doi":"10.1109/VTSA.2009.5159309","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159309","url":null,"abstract":"In this paper, the experiences on full field EUVL lithography are reviewed. Besides the imaging performance of the EUV ADT at IMEC, also the progress in resists and reticles are discussed and compared to the production requirements for EUV lithography.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"120830471","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Additive mobility enhancement and off-state current reduction in SiGe channel pMOSFETs with optimized Si Cap and high-k metal gate stacks 利用优化的Si Cap和高k金属栅极堆叠,增强SiGe沟道pmosfet的可加性迁移率和降低失态电流
Pub Date : 2009-04-27 DOI: 10.1109/VTSA.2009.5159274
Jungwoo Oh, P. Majhi, R. Jammy, R. Joe, A. Dip, T. Sugawara, Y. Akasaka, T. Kaitsuka, T. Arikado, M. Tomoyasu
We have demonstrated high mobility pMOSFETs on high quality epitaxial SiGe films selectively grown on Si (100) substrates. With a Si cap processed on SiGe channels, HfSiO2 high-k gate dielectrics exhibited low C-V hysteresis (≪10 mV), interface trap density (7.5×1010), and gate leakage current (∼10−2A/cm2 at an EOT of 13.4Å), which are comparable to gate stack on Si channels. The mobility enhancement afforded intrinsically by the SiGe channel (60%) is further increased by a Si cap (40%) process, resulting in a combined ∼100% enhancement over Si channels. The Si cap process also mitigates the low potential barrier issues of SiGe channels, which are major causes of the high off-state current of small bandgap energy SiGe pMOSFETs, by improving gate control over the channel.
我们已经在选择性生长在Si(100)衬底上的高质量外延SiGe薄膜上展示了高迁移率的pmosfet。在SiGe沟道上加工硅帽后,HfSiO2高k栅极电介质表现出低C-V磁滞(≪10 mV)、界面阱密度(7.5×1010)和栅极漏电流(EOT为13.4Å时为~ 10−2A/cm2),可与硅沟道上的栅极堆相媲美。SiGe通道(60%)所提供的固有迁移率增强通过Si帽(40%)工艺进一步增加,从而使Si通道的组合增强了100%。Si帽工艺还通过改善通道的栅极控制,缓解了SiGe通道的低势垒问题,这是导致小带隙能量SiGe pmosfet的高过态电流的主要原因。
{"title":"Additive mobility enhancement and off-state current reduction in SiGe channel pMOSFETs with optimized Si Cap and high-k metal gate stacks","authors":"Jungwoo Oh, P. Majhi, R. Jammy, R. Joe, A. Dip, T. Sugawara, Y. Akasaka, T. Kaitsuka, T. Arikado, M. Tomoyasu","doi":"10.1109/VTSA.2009.5159274","DOIUrl":"https://doi.org/10.1109/VTSA.2009.5159274","url":null,"abstract":"We have demonstrated high mobility pMOSFETs on high quality epitaxial SiGe films selectively grown on Si (100) substrates. With a Si cap processed on SiGe channels, HfSiO2 high-k gate dielectrics exhibited low C-V hysteresis (≪10 mV), interface trap density (7.5×1010), and gate leakage current (∼10−2A/cm2 at an EOT of 13.4Å), which are comparable to gate stack on Si channels. The mobility enhancement afforded intrinsically by the SiGe channel (60%) is further increased by a Si cap (40%) process, resulting in a combined ∼100% enhancement over Si channels. The Si cap process also mitigates the low potential barrier issues of SiGe channels, which are major causes of the high off-state current of small bandgap energy SiGe pMOSFETs, by improving gate control over the channel.","PeriodicalId":309622,"journal":{"name":"2009 International Symposium on VLSI Technology, Systems, and Applications","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2009-04-27","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123690596","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 10
期刊
2009 International Symposium on VLSI Technology, Systems, and Applications
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1