首页 > 最新文献

2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)最新文献

英文 中文
A 100kHz-Bandwidth 98.3dB-SNDR Noise-Shaping SAR ADC with Improved Mismatch Error Shaping and Speed-Up Techniques 一种100khz带宽98.3dB-SNDR噪声整形SAR ADC,具有改进的失配误差整形和加速技术
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830166
Kazunori Hasebe, Shinichirou Etou, D. Miyazaki, Taiki Iguchi, Yuki Yagishita, Mika Takasaki, Takeru Nogamida, Hiroyuki Watanabe, T. Matsumoto, Y. Katayama
Noise-Shaping (NS) SAR ADCs can have high Dynamic Range (DR) and be easily adopted to IoT, audio and many other applications. This paper proposes four techniques to improve the DR and conversion speed of NS SAR ADCs. A prototype NS SAR ADC achieves SNDR/SNR/SFDR of 98.3dB/99.3dB/108.5dB with 100kHz bandwidth and 12.8MS/s. Schreier FoM reaches 175.3dB.
噪声整形(NS) SAR adc具有高动态范围(DR),可轻松应用于物联网、音频和许多其他应用。本文提出了提高NS SAR adc的DR和转换速度的四种技术。原型NS SAR ADC在100kHz带宽和12.8MS/s下实现了98.3dB/99.3dB/108.5dB的SNDR/SNR/SFDR。Schreier FoM达到175.3dB。
{"title":"A 100kHz-Bandwidth 98.3dB-SNDR Noise-Shaping SAR ADC with Improved Mismatch Error Shaping and Speed-Up Techniques","authors":"Kazunori Hasebe, Shinichirou Etou, D. Miyazaki, Taiki Iguchi, Yuki Yagishita, Mika Takasaki, Takeru Nogamida, Hiroyuki Watanabe, T. Matsumoto, Y. Katayama","doi":"10.1109/vlsitechnologyandcir46769.2022.9830166","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830166","url":null,"abstract":"Noise-Shaping (NS) SAR ADCs can have high Dynamic Range (DR) and be easily adopted to IoT, audio and many other applications. This paper proposes four techniques to improve the DR and conversion speed of NS SAR ADCs. A prototype NS SAR ADC achieves SNDR/SNR/SFDR of 98.3dB/99.3dB/108.5dB with 100kHz bandwidth and 12.8MS/s. Schreier FoM reaches 175.3dB.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"126434729","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 6
A 30GHz-BW < -57dB-IM3 Direct RF Receiver Analog Front End in 16nm FinFET 30GHz-BW < -57dB-IM3的16nm FinFET直接射频接收器模拟前端
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830293
A. Ramkaj, Adalberto Cantoni, G. Manganaro, S. Devarajan, M. Steyaert, F. Tavernier
This paper presents a 30GHz-bandwidth analog front end for a direct RF receiver, featuring a multi-segment LC filter with distributed 0-11dB variable attenuation, and a new push-pull source-degenerated hybrid amplifier followed by a push-pull cascoded buffer. Implemented in 16nm FinFET, the prototype front end achieves < -57dB-IM3 and supports 2048-QAM with < 1.6%/< -48dB EVM/ACLR in its entire band while consuming 210mW, demonstrating beyond state-of-the-art performance.
本文提出了一种用于直接射频接收机的30ghz带宽模拟前端,该前端采用分布式0-11dB可变衰减的多段LC滤波器,以及一种新型推挽式源-退化混合放大器,后接推挽级联编码缓冲器。原型前端采用16nm FinFET实现< -57dB-IM3,支持2048-QAM,整个频段EVM/ACLR < 1.6%/< -48dB,功耗为210mW,表现出超越最先进的性能。
{"title":"A 30GHz-BW < -57dB-IM3 Direct RF Receiver Analog Front End in 16nm FinFET","authors":"A. Ramkaj, Adalberto Cantoni, G. Manganaro, S. Devarajan, M. Steyaert, F. Tavernier","doi":"10.1109/vlsitechnologyandcir46769.2022.9830293","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830293","url":null,"abstract":"This paper presents a 30GHz-bandwidth analog front end for a direct RF receiver, featuring a multi-segment LC filter with distributed 0-11dB variable attenuation, and a new push-pull source-degenerated hybrid amplifier followed by a push-pull cascoded buffer. Implemented in 16nm FinFET, the prototype front end achieves < -57dB-IM3 and supports 2048-QAM with < 1.6%/< -48dB EVM/ACLR in its entire band while consuming 210mW, demonstrating beyond state-of-the-art performance.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131362764","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
8-Layer 3D Vertical Ru/AlOxNy/TiN RRAM with Mega-Ω Level LRS for Low Power and Ultrahigh-density Memory 8层3D垂直Ru/AlOxNy/TiN RRAM与Mega-Ω级LRS低功耗和超高密度存储器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830164
S. Qin, Maryann C. Tung, Emma Belliveau, Shuhan Liu, Jimin Kwon, Wei-Chen Chen, Zizhen Jiang, S. Wong, H. P. Wong
We present an 8-layer 3D vertical Ru/AlOxNy/TiN RRAM device integrated with a transistor as current driver at the end of the vertical pillar. This RRAM cell is designed for low power and ultrahigh-density non-volatile memory: 1) a large low-resistance state (LRS) value of around 1 MΩ with an ON/OFF window of > 102 to ensure successful write/read operations for the worst-case cell in the array; 2) a thin (15 nm) Ru word-plane (WP) electrode to reduce parasitic resistance yet scalable vertically to > 128 layers; 3) 2-bit-per-cell capability that doubles the memory capacity. A 128-layer 3D vertical RRAM with Ru/AlOxNy/TiN can achieve bit density of 29.5 Gb/mm2 with 2 bits per cell and CMOS under Array (CuA), based on simulations that include leakage current, pillar, and WP resistances. Reliability tests show the RRAM cell can be reliably switched up to 3×106 write/read cycles and maintain stable resistance states > 104 s at 85 °C.
我们提出了一个8层3D垂直Ru/AlOxNy/TiN RRAM器件,在垂直柱的末端集成了一个晶体管作为电流驱动器。该RRAM单元设计用于低功耗和超高密度非易失性存储器:1)具有约1 MΩ的大低阻状态(LRS)值和> 102的ON/OFF窗口,以确保阵列中最坏情况单元的成功写/读操作;2)一个薄的(15 nm) Ru字平面(WP)电极,可以降低寄生电阻,但垂直可扩展到> 128层;3)每单元2位的能力,使内存容量加倍。基于泄漏电流、柱电阻和WP电阻的模拟,采用Ru/AlOxNy/TiN的128层3D垂直RRAM可以实现29.5 Gb/mm2的位密度,每个单元2位,CMOS在阵列(CuA)下。可靠性测试表明,RRAM单元可以可靠地切换到3×106写/读周期,并在85°C下保持> 104 s的稳定电阻状态。
{"title":"8-Layer 3D Vertical Ru/AlOxNy/TiN RRAM with Mega-Ω Level LRS for Low Power and Ultrahigh-density Memory","authors":"S. Qin, Maryann C. Tung, Emma Belliveau, Shuhan Liu, Jimin Kwon, Wei-Chen Chen, Zizhen Jiang, S. Wong, H. P. Wong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830164","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830164","url":null,"abstract":"We present an 8-layer 3D vertical Ru/AlOxNy/TiN RRAM device integrated with a transistor as current driver at the end of the vertical pillar. This RRAM cell is designed for low power and ultrahigh-density non-volatile memory: 1) a large low-resistance state (LRS) value of around 1 MΩ with an ON/OFF window of > 102 to ensure successful write/read operations for the worst-case cell in the array; 2) a thin (15 nm) Ru word-plane (WP) electrode to reduce parasitic resistance yet scalable vertically to > 128 layers; 3) 2-bit-per-cell capability that doubles the memory capacity. A 128-layer 3D vertical RRAM with Ru/AlOxNy/TiN can achieve bit density of 29.5 Gb/mm2 with 2 bits per cell and CMOS under Array (CuA), based on simulations that include leakage current, pillar, and WP resistances. Reliability tests show the RRAM cell can be reliably switched up to 3×106 write/read cycles and maintain stable resistance states > 104 s at 85 °C.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"134572862","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A Shared-Inductor Structure-Reconfigurable Regulating Rectifier (SR-RR) Enabling 6.78-MHz AC-DC Rectification and 1-MHz DC-DC Energy Recycling 共享电感结构可重构调节整流器(SR-RR)实现6.78 mhz AC-DC整流和1mhz DC-DC能量回收
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830263
Fu-Bin Yang, Dao-Han Yao, Po-Hung Chen
This paper presents a 6.78-MHz quad-mode structure-reconfigurable regulating rectifier (SR-RR) for wireless power transfer (WPT) systems. The proposed SR-RR combines AC-DC regulating rectifier and DC-DC boost converter functions in one stage by sharing a receiver coil and power switches. The measurement results demonstrate 91.9% peak receiver efficiency and 64.4% peak system efficiency while obtaining 38.9% light-load efficiency improvement and 1.7X output power extension.
提出了一种用于无线电力传输(WPT)系统的6.78 mhz四模结构可重构整流器(SR-RR)。SR-RR通过共用一个接收线圈和电源开关,将交直流调节整流器和DC-DC升压变换器的功能结合在一起。测量结果表明,接收机效率峰值为91.9%,系统效率峰值为64.4%,轻载效率提高38.9%,输出功率扩展1.7倍。
{"title":"A Shared-Inductor Structure-Reconfigurable Regulating Rectifier (SR-RR) Enabling 6.78-MHz AC-DC Rectification and 1-MHz DC-DC Energy Recycling","authors":"Fu-Bin Yang, Dao-Han Yao, Po-Hung Chen","doi":"10.1109/vlsitechnologyandcir46769.2022.9830263","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830263","url":null,"abstract":"This paper presents a 6.78-MHz quad-mode structure-reconfigurable regulating rectifier (SR-RR) for wireless power transfer (WPT) systems. The proposed SR-RR combines AC-DC regulating rectifier and DC-DC boost converter functions in one stage by sharing a receiver coil and power switches. The measurement results demonstrate 91.9% peak receiver efficiency and 64.4% peak system efficiency while obtaining 38.9% light-load efficiency improvement and 1.7X output power extension.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"131491477","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
From System-on-Chip (SoC) to System on Multi-Chip (SoMC) architectures: Scaling integrated systems beyond the limitations of deep-submicron single chip technologies 从片上系统(SoC)到多片上系统(SoMC)架构:扩展集成系统,超越深亚微米单芯片技术的限制
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830253
Christopher Patrick, S. C. Song, I. Khan, Nader Nikfar, M. Severson, Shree Pandey, Matt Kaiser, Manav Shah, Pat Lawlor, Deb Marich, Carina Affinito, Rajeev Jain
The mobile wireless revolution has relied on IP integration platforms and processes that allows rapid innovation and integration of new IP such as 5G, while achieving low power and low cost by quickly leveraging new technology nodes. Complex systems have been integrated into SoCs and enhanced every year as technology shrinks. However, current trends in SOCs for diverse markets like mobile, compute, automotive, and AI servers will lead to impractical die sizes due to reduction in the rate of area shrinkage with future deep sub-micron technology nodes. Partitioning the SoC into multiple die (also called chiplets) in a multi-chip configuration may help, but this also brings new challenges in architecture design, thermal, power distribution network, die-to-die interface design, and chip design flow. These challenges are highlighted in this paper.
移动无线革命依赖于IP集成平台和流程,这些平台和流程允许5G等新IP的快速创新和集成,同时通过快速利用新技术节点实现低功耗和低成本。复杂的系统已经集成到soc中,并且随着技术的萎缩,每年都在增强。然而,目前针对移动、计算、汽车和人工智能服务器等不同市场的soc趋势将导致不切实际的模具尺寸,因为未来深亚微米技术节点的面积收缩率会降低。在多芯片配置中将SoC划分为多个芯片(也称为小芯片)可能会有所帮助,但这也会在架构设计、散热、配电网络、模对模接口设计和芯片设计流程方面带来新的挑战。本文重点介绍了这些挑战。
{"title":"From System-on-Chip (SoC) to System on Multi-Chip (SoMC) architectures: Scaling integrated systems beyond the limitations of deep-submicron single chip technologies","authors":"Christopher Patrick, S. C. Song, I. Khan, Nader Nikfar, M. Severson, Shree Pandey, Matt Kaiser, Manav Shah, Pat Lawlor, Deb Marich, Carina Affinito, Rajeev Jain","doi":"10.1109/vlsitechnologyandcir46769.2022.9830253","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830253","url":null,"abstract":"The mobile wireless revolution has relied on IP integration platforms and processes that allows rapid innovation and integration of new IP such as 5G, while achieving low power and low cost by quickly leveraging new technology nodes. Complex systems have been integrated into SoCs and enhanced every year as technology shrinks. However, current trends in SOCs for diverse markets like mobile, compute, automotive, and AI servers will lead to impractical die sizes due to reduction in the rate of area shrinkage with future deep sub-micron technology nodes. Partitioning the SoC into multiple die (also called chiplets) in a multi-chip configuration may help, but this also brings new challenges in architecture design, thermal, power distribution network, die-to-die interface design, and chip design flow. These challenges are highlighted in this paper.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"132641735","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
Improving the SiGeAsTe Ovonic Threshold Switching (OTS) Characteristics by Microwave Annealing for Excellent Endurance (> 1011) and Low Drift Characteristics 利用微波退火技术改善SiGeAsTe卵泡阈值开关(OTS)特性,使其具有优异的续航时间(> 1011)和低漂移特性
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830179
J. Lee, S. Kim, Sangmin Lee, Sanghyun Ban, Seongjae Heo, Donghwa Lee, O. Mosendz, H. Hwang
To improve the reliability of a nanoscale (d=30 nm) ovonic threshold switching (OTS) selector, we report for the first time the effect of microwave annealing (MWA) on the electrical characteristics of an OTS device. The MWA-treated OTS device shows low initial forming voltage, excellent endurance (> 1011), and reduced threshold voltage (Vth) drift (~ 67 %), while maintaining its great switching characteristics (Ioff = 0.8 nA at 1.1 V). Enhanced As-Te bonding probability after MWA, which was confirmed by Raman spectroscopy and density functional theory (DFT) calculations, can explain low forming voltage and improved device reliability.
为了提高纳米(d=30 nm)卵子阈值开关(OTS)选择器的可靠性,我们首次报道了微波退火(MWA)对OTS器件电特性的影响。经MWA处理的OTS器件具有较低的初始成形电压、良好的耐磨性(> 1011)和较低的阈值电压(Vth)漂移(~ 67%),同时保持了良好的开关特性(Ioff = 0.8 nA, at 1.1 V)。通过拉曼光谱和密度泛函理论(DFT)计算证实,MWA处理后的As-Te键合概率增强,可以解释低成形电压和提高器件可靠性的原因。
{"title":"Improving the SiGeAsTe Ovonic Threshold Switching (OTS) Characteristics by Microwave Annealing for Excellent Endurance (> 1011) and Low Drift Characteristics","authors":"J. Lee, S. Kim, Sangmin Lee, Sanghyun Ban, Seongjae Heo, Donghwa Lee, O. Mosendz, H. Hwang","doi":"10.1109/vlsitechnologyandcir46769.2022.9830179","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830179","url":null,"abstract":"To improve the reliability of a nanoscale (d=30 nm) ovonic threshold switching (OTS) selector, we report for the first time the effect of microwave annealing (MWA) on the electrical characteristics of an OTS device. The MWA-treated OTS device shows low initial forming voltage, excellent endurance (> 1011), and reduced threshold voltage (Vth) drift (~ 67 %), while maintaining its great switching characteristics (Ioff = 0.8 nA at 1.1 V). Enhanced As-Te bonding probability after MWA, which was confirmed by Raman spectroscopy and density functional theory (DFT) calculations, can explain low forming voltage and improved device reliability.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"130834816","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
A 9.8-fJ/conv.-step FoMW 8b 2.5-GS/s Single-Channel CDAC-Assisted Subranging ADC with Reference-Embedded Comparators fj / conv 9.8。-step formw8b 2.5-GS/s单通道cdac辅助子量程ADC与参考嵌入式比较器
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830239
Jia-Ching Wang, Bing-Yang Li, T. Kuo
This paper presents an 8b 2.5-GS/s single-channel CDAC-assisted three-stage subranging ADC using reference-embedded comparators (RECs). In this work, both the power consumption and calibration overhead of the RECs are largely reduced by a simple capacitor DAC (CDAC) designed for this subranging ADC. In addition, the severe CDAC gain error is also largely reduced by a simple gain error compensation design, which is not only insensitive to the PVT variation but is also a low-complexity design. This ADC is implemented in 28-nm CMOS technology and occupies an active area of 0.024 mm2. With a Nyquist-rate input at 2.5 GS/s, the measured SNDR is 44.8 dB with a 3.5-mW power consumption only. This ADC achieves a Walden Figure-of-Merits of 9.8 fJ/conv.-step only. Compared to the single-channel prior-art ADCs with a sampling rate ≥1.5 GS/s and a resolution of 6-10b, this work advances the state-of-the-art by nearly 2×.
本文提出了一种采用参考嵌入式比较器(RECs)的8b 2.5-GS/s单通道cdac辅助三级分位ADC。在这项工作中,RECs的功耗和校准开销都通过为该子量程ADC设计的简单电容DAC (CDAC)大大降低。此外,简单的增益误差补偿设计不仅对PVT变化不敏感,而且是一种低复杂度的设计,大大降低了CDAC严重的增益误差。该ADC采用28纳米CMOS技术实现,占用0.024 mm2的有效面积。在nyquist速率为2.5 GS/s的情况下,测量到的SNDR为44.8 dB,功耗仅为3.5 mw。该ADC实现了9.8 fJ/conv的瓦尔登优点系数。一步一步。与采样率≥1.5 GS/s、分辨率为6-10b的单通道现有技术adc相比,这项工作将最先进的adc提高了近2倍。
{"title":"A 9.8-fJ/conv.-step FoMW 8b 2.5-GS/s Single-Channel CDAC-Assisted Subranging ADC with Reference-Embedded Comparators","authors":"Jia-Ching Wang, Bing-Yang Li, T. Kuo","doi":"10.1109/vlsitechnologyandcir46769.2022.9830239","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830239","url":null,"abstract":"This paper presents an 8b 2.5-GS/s single-channel CDAC-assisted three-stage subranging ADC using reference-embedded comparators (RECs). In this work, both the power consumption and calibration overhead of the RECs are largely reduced by a simple capacitor DAC (CDAC) designed for this subranging ADC. In addition, the severe CDAC gain error is also largely reduced by a simple gain error compensation design, which is not only insensitive to the PVT variation but is also a low-complexity design. This ADC is implemented in 28-nm CMOS technology and occupies an active area of 0.024 mm2. With a Nyquist-rate input at 2.5 GS/s, the measured SNDR is 44.8 dB with a 3.5-mW power consumption only. This ADC achieves a Walden Figure-of-Merits of 9.8 fJ/conv.-step only. Compared to the single-channel prior-art ADCs with a sampling rate ≥1.5 GS/s and a resolution of 6-10b, this work advances the state-of-the-art by nearly 2×.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"133666692","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 3
Computing-in-Memory with SuperFlash® memBrain™ Technology 使用SuperFlash®memBrain™技术进行内存计算
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830145
Nhan Do, H. Tran, M. Reiten
The concept and experimental result of using SuperFlash® based neuromorphic memory to solve the data communication bottlenecks in neural network edge devices are discussed. The implementation of a 2Mb memory array as an analog vector matrix multiplier (VMM) in a 28 nm SuperFlash® eFlash (ESF3-28 nm) process together with design concepts, weight tuning technique, performance factors, and reliability, are also presented in detail.
讨论了利用基于SuperFlash®的神经形态存储器解决神经网络边缘设备数据通信瓶颈的概念和实验结果。在28纳米SuperFlash®eFlash (ESF3-28纳米)工艺中实现2Mb存储器阵列作为模拟向量矩阵乘法器(VMM),并详细介绍了设计概念、权重调谐技术、性能因素和可靠性。
{"title":"Computing-in-Memory with SuperFlash® memBrain™ Technology","authors":"Nhan Do, H. Tran, M. Reiten","doi":"10.1109/vlsitechnologyandcir46769.2022.9830145","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830145","url":null,"abstract":"The concept and experimental result of using SuperFlash® based neuromorphic memory to solve the data communication bottlenecks in neural network edge devices are discussed. The implementation of a 2Mb memory array as an analog vector matrix multiplier (VMM) in a 28 nm SuperFlash® eFlash (ESF3-28 nm) process together with design concepts, weight tuning technique, performance factors, and reliability, are also presented in detail.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"124094018","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 2
First Si-Waveguide-Integrated InGaAs/InAlAs Avalanche Photodiodes on SOI Platform SOI平台上首款硅波导集成InGaAs/InAlAs雪崩光电二极管
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830481
Jishen Zhang, Haiwen Xu, K. Tan, S. Wicaksono, Qiwen Kong, Gong Zhang, Yue Chen, Chen Sun, Haibo Wang, Chao Wang, Zijie Zheng, Leming Jiao, Zuopu Zhou, C. Lim, S. Yoon, Xiao Gong
For the first time, a novel Si-waveguide-integrated InGaAs/InAlAs avalanche photodiode (APD) on the SOI platform was realized, in which light propagating along the Si waveguide is evanescently absorbed by an overlaying InGaAs layer bonded on it. The integrated-APD exhibits a high responsivity of 0.99 A/W at 1570 nm, a dark current (Idark) of 7.6 nA at 90% breakdown voltage (Vbr), and a maximum gain of larger than 70. The good quality of the bonded III-V epi-layers and promising performance of the fabricated waveguide-integrated APDs has been realized where the negligible variation induced by the bonding process has been confirmed.
首次在SOI平台上实现了一种新型Si波导集成InGaAs/InAlAs雪崩光电二极管(APD),其中沿Si波导传播的光被其上的InGaAs层瞬时吸收。该集成apd在1570 nm处具有0.99 a /W的高响应度,在90%击穿电压(Vbr)下的暗电流(Idark)为7.6 nA,最大增益大于70。在证实了键合过程中引起的变化可以忽略不计的情况下,实现了键合III-V外延层的良好质量和所制备的波导集成apd的良好性能。
{"title":"First Si-Waveguide-Integrated InGaAs/InAlAs Avalanche Photodiodes on SOI Platform","authors":"Jishen Zhang, Haiwen Xu, K. Tan, S. Wicaksono, Qiwen Kong, Gong Zhang, Yue Chen, Chen Sun, Haibo Wang, Chao Wang, Zijie Zheng, Leming Jiao, Zuopu Zhou, C. Lim, S. Yoon, Xiao Gong","doi":"10.1109/vlsitechnologyandcir46769.2022.9830481","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830481","url":null,"abstract":"For the first time, a novel Si-waveguide-integrated InGaAs/InAlAs avalanche photodiode (APD) on the SOI platform was realized, in which light propagating along the Si waveguide is evanescently absorbed by an overlaying InGaAs layer bonded on it. The integrated-APD exhibits a high responsivity of 0.99 A/W at 1570 nm, a dark current (Idark) of 7.6 nA at 90% breakdown voltage (Vbr), and a maximum gain of larger than 70. The good quality of the bonded III-V epi-layers and promising performance of the fabricated waveguide-integrated APDs has been realized where the negligible variation induced by the bonding process has been confirmed.","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"123424830","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 1
VLSI Technology and Circuits 2022 Cover Page VLSI技术和电路2022封面页
Pub Date : 2022-06-12 DOI: 10.1109/vlsitechnologyandcir46769.2022.9830342
{"title":"VLSI Technology and Circuits 2022 Cover Page","authors":"","doi":"10.1109/vlsitechnologyandcir46769.2022.9830342","DOIUrl":"https://doi.org/10.1109/vlsitechnologyandcir46769.2022.9830342","url":null,"abstract":"","PeriodicalId":332454,"journal":{"name":"2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)","volume":null,"pages":null},"PeriodicalIF":0.0,"publicationDate":"2022-06-12","publicationTypes":"Journal Article","fieldsOfStudy":null,"isOpenAccess":false,"openAccessPdf":"","citationCount":null,"resultStr":null,"platform":"Semanticscholar","paperid":"122007207","PeriodicalName":null,"FirstCategoryId":null,"ListUrlMain":null,"RegionNum":0,"RegionCategory":"","ArticlePicture":[],"TitleCN":null,"AbstractTextCN":null,"PMCID":"","EPubDate":null,"PubModel":null,"JCR":null,"JCRName":null,"Score":null,"Total":0}
引用次数: 0
期刊
2022 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits)
全部 Acc. Chem. Res. ACS Applied Bio Materials ACS Appl. Electron. Mater. ACS Appl. Energy Mater. ACS Appl. Mater. Interfaces ACS Appl. Nano Mater. ACS Appl. Polym. Mater. ACS BIOMATER-SCI ENG ACS Catal. ACS Cent. Sci. ACS Chem. Biol. ACS Chemical Health & Safety ACS Chem. Neurosci. ACS Comb. Sci. ACS Earth Space Chem. ACS Energy Lett. ACS Infect. Dis. ACS Macro Lett. ACS Mater. Lett. ACS Med. Chem. Lett. ACS Nano ACS Omega ACS Photonics ACS Sens. ACS Sustainable Chem. Eng. ACS Synth. Biol. Anal. Chem. BIOCHEMISTRY-US Bioconjugate Chem. BIOMACROMOLECULES Chem. Res. Toxicol. Chem. Rev. Chem. Mater. CRYST GROWTH DES ENERG FUEL Environ. Sci. Technol. Environ. Sci. Technol. Lett. Eur. J. Inorg. Chem. IND ENG CHEM RES Inorg. Chem. J. Agric. Food. Chem. J. Chem. Eng. Data J. Chem. Educ. J. Chem. Inf. Model. J. Chem. Theory Comput. J. Med. Chem. J. Nat. Prod. J PROTEOME RES J. Am. Chem. Soc. LANGMUIR MACROMOLECULES Mol. Pharmaceutics Nano Lett. Org. Lett. ORG PROCESS RES DEV ORGANOMETALLICS J. Org. Chem. J. Phys. Chem. J. Phys. Chem. A J. Phys. Chem. B J. Phys. Chem. C J. Phys. Chem. Lett. Analyst Anal. Methods Biomater. Sci. Catal. Sci. Technol. Chem. Commun. Chem. Soc. Rev. CHEM EDUC RES PRACT CRYSTENGCOMM Dalton Trans. Energy Environ. Sci. ENVIRON SCI-NANO ENVIRON SCI-PROC IMP ENVIRON SCI-WAT RES Faraday Discuss. Food Funct. Green Chem. Inorg. Chem. Front. Integr. Biol. J. Anal. At. Spectrom. J. Mater. Chem. A J. Mater. Chem. B J. Mater. Chem. C Lab Chip Mater. Chem. Front. Mater. Horiz. MEDCHEMCOMM Metallomics Mol. Biosyst. Mol. Syst. Des. Eng. Nanoscale Nanoscale Horiz. Nat. Prod. Rep. New J. Chem. Org. Biomol. Chem. Org. Chem. Front. PHOTOCH PHOTOBIO SCI PCCP Polym. Chem.
×
引用
GB/T 7714-2015
复制
MLA
复制
APA
复制
导出至
BibTeX EndNote RefMan NoteFirst NoteExpress
×
0
微信
客服QQ
Book学术公众号 扫码关注我们
反馈
×
意见反馈
请填写您的意见或建议
请填写您的手机或邮箱
×
提示
您的信息不完整,为了账户安全,请先补充。
现在去补充
×
提示
您因"违规操作"
具体请查看互助需知
我知道了
×
提示
现在去查看 取消
×
提示
确定
Book学术官方微信
Book学术文献互助
Book学术文献互助群
群 号:481959085
Book学术
文献互助 智能选刊 最新文献 互助须知 联系我们:info@booksci.cn
Book学术提供免费学术资源搜索服务,方便国内外学者检索中英文文献。致力于提供最便捷和优质的服务体验。
Copyright © 2023 Book学术 All rights reserved.
ghs 京公网安备 11010802042870号 京ICP备2023020795号-1